问题标签 [xilinx]

For questions regarding programming in ECMAScript (JavaScript/JS) and its various dialects/implementations (excluding ActionScript). Note JavaScript is NOT the same as Java! Please include all relevant tags on your question; e.g., [node.js], [jquery], [json], [reactjs], [angular], [ember.js], [vue.js], [typescript], [svelte], etc.

0 投票
1 回答
541 浏览

fpga - 在 Xilinx 中实现 ceil 函数

我想在 Simulink(Xilinx 库)中获取信号的上限。因此,例如,如果信号值为 1.5,则输出将为 2。

关于如何在 Simulink 中实现它的任何建议?

此外,我很想了解如何实现例如地板、圆形功能的方法。

xilinx 库中的任何块都可以吗?

谢谢

基兰

0 投票
1 回答
1179 浏览

fpga - 在 Simulink 中配置 CORDIC ATAN 模块

我在 Simulink 中使用 CORDIC ATAN 模块。我正在使用这个块来计算相位差。

这是我正在使用的模型的一部分: 在此处输入图像描述

我将输入 a 和 b 设为 0,并且我期望 的值也Phase_Signal为零。

但显然不是。我得到Phase_Signal1.7277。

如果我没有正确配置 CORDIC 块,请告诉我。

ATAN 块参数:

在此处输入图像描述

谢谢

基兰

0 投票
1 回答
1247 浏览

fpga - Simulink中如何获取一个数的最大值?

我正在构建一个模型,它需要我找到一组 8 个信号中的最大值,还要找到最大值的索引。

如何在 Simulink(Xilinx 库)中构建这样的模型?

我猜是比较块后跟一个计数器块。但不知何故,我无法将所有事情都放在一起。

谢谢

0 投票
1 回答
1616 浏览

terminal - 与 Digilent Atlys 板的串行通信

我有一块带有 Spartan6 FPGA的 Atlys 板http://www.digilentinc.com/Products/Detail.cfm?NavPath=2,400,836&Prod=ATLYS 。

我想通过 EXAR 的板载 USB-UART 桥接器设置与主机 PC 的串行端口通信。在 PC 上使用picocom终端运行 Ubuntu 时一切正常,但在 Windows 机器上尝试设置与 Putty 终端的通信时出现问题。

串口配置如下:速度9600,数据位:8,奇偶校验:无。

那里有什么问题?

0 投票
1 回答
644 浏览

binary - Simulink 中的模式匹配

我正在尝试建立一个模型来比较特定位模式的输入。

例如,如果我必须检查输入模式 1110,我构建一个具有 3 个延迟元素的模型,获取输入并将其连接,然后使用比较器与 14(Hex E)进行比较。

目前,我需要对 128 位进行模式匹配。通过前面的例子,我可以理想地构建使用 127 个延迟元素的类似模型并比较块。

但我想知道是否有最佳和“更好”的方法来做到这一点。

期待在这方面提出一些建议。

如果您有兴趣,这是我为提取 8 位而构建的小模型: 精确的 8 位

连接 8 位

0 投票
1 回答
978 浏览

fpga - Simulink 中的案例陈述

我只是无法弄清楚如何进行:

我正在尝试建立一个模型:

  • 它将有 4 个输入(布尔 i/p)
  • 它将有 1 个输出(有符号:8 位)

它将执行以下操作:

  • 根据哪个输入为 1,它将给出反映 DataRate 的相应输出。

如果我必须用 Matlab 写,我会写这样的东西:

我正在附加我正在为相同功能开发的模型部分:

数据速率计算

任何关于如何进行的想法或代码更正或关于如何改进的建议都会非常有帮助。

谢谢

0 投票
2 回答
3348 浏览

vhdl - 从 VHDL 代码生成状态机图?

有没有什么很好的工具可以从 VHDL 代码生成状态机图?我正在使用 Xilinx ISE Webpack。干杯!

0 投票
4 回答
7078 浏览

clock - VHDL 中的嵌套 if (rising_edge(clk)) 语句

所以我遇到了一些我必须复制的旧代码,但它不能用新的 Xilinx 编译器编译,所以我需要弄清楚它到底做了什么。我有这样的事情:

是否需要 2 个时钟周期才能到达内部 if 语句,或者第二个 if 语句只是多余的?

此外,赛灵思给出了错误:“信号逻辑由时钟控制,但似乎不是有效的顺序描述”</p>

谢谢,任何帮助表示赞赏。

0 投票
1 回答
1463 浏览

fpga - Simulink 中 Parallel-to-Serial 模块的问题

我正在尝试将来自 DQPSK 解调器(类型:UFix2_0)的输入字转换为串行流。

所以我在 Simulink 中使用 Xilinx 库的 Parallel-to-Serial 模块。

但我无法使用该块,我收到以下错误:

此 System Generator 令牌上的“Simulink 系统周期”设置不适用于设计中使用的速率。

当前设置为:1 合适的设置为:1/2"

我也尝试更改系统生成器的设置,但似乎效果不佳。

任何想法我可能会出错。任何其他方法也会有所帮助。

谢谢

0 投票
3 回答
2472 浏览

fpga - Simulink 中的整数到二进制转换

这可能看起来重复了我之前的问题。但我认为不是。我正在寻找一种将十进制格式的信号转换为二进制格式的技术。

我打算使用赛灵思库中的 Simulink 模块将十进制转换为二进制格式。

因此,如果输入为 3,则预期输出应为 11(2 个时钟周期)。我正在寻找要串行获得的输出。

请建议我如何做到这一点,或者互联网上的任何指示都会有所帮助。

谢谢