问题标签 [questasim]

For questions regarding programming in ECMAScript (JavaScript/JS) and its various dialects/implementations (excluding ActionScript). Note JavaScript is NOT the same as Java! Please include all relevant tags on your question; e.g., [node.js], [jquery], [json], [reactjs], [angular], [ember.js], [vue.js], [typescript], [svelte], etc.

0 投票
2 回答
762 浏览

vhdl - 子程序中的重载函数,但我“已经定义”

我正在尝试编译我的子程序包,但出现此错误:

** 错误:C:/Users/kmgrytte/Downloads/subprog_pck.vhd(16): (vcom-1295) 函数“parity”已在该区域中定义。** =====>“奇偶校验”的先前声明位于 C:/Users/kmgrytte/Downloads/subprog_pck.vhd(12)。** 错误:C:/Users/kmgrytte/Downloads/subprog_pck.vhd(20):VHDL 编译器正在退出

像这样的超载在我的主程序中起作用,我在网上找不到任何关于子程序中超载的好例子。

0 投票
0 回答
611 浏览

vhdl - VHDL 从文件中读取文本,然后存储并比较它们以创建测试台

我有一个文本文件,表示电路中整数格式的 adc 值,看起来像,

前 3 个整数始终代表一个标头,随后的 256 个整数值包含一个块。我写了一个 VHDL 代码(算法)来分析这个文件,它存储了这个文件的几个特征。我还编写了一个测试平台,它读取文件并将一行中的每个值发送到分析器代码。这些值当前被一一发送到分析器组件,如我的测试平台代码中所述。

从我的示例中可以看出,当前的测试平台一次只能读取一行并将其发送到分析仪。我想要它做的是剥离标题(前 3 个整数)存储它,并在处理完一个 256 个整数块后再次将其加入文本文件?任何提示都会在这个方向上非常有用。我的方法是基于数据字比较器,数据字描述

0 投票
1 回答
822 浏览

system-verilog - Quartus Prime 编译 ROM

嗨,我正在设计一个 ISA RISCV 32 位微控制器,并且我将 ROM 组织成 8 位(1 个字节)的数组,然后输出为 32 位宽度。因为我需要它。

rom.txt:(每一行都是一条指令)

ROM RTL:

当我在 Questasim/Modelsim 中使用测试平台进行模拟时,微控制器工作正常并且指令正常执行。

但是在 Quartus Prime 中,当我编译时它编译得很好,但在编译报告中我总共得到 0 个逻辑元素和 0 个寄存器

当然,我在微控制器内部有一个 RAM 和另一个组件,而不是使用寄存器和逻辑单元。

我也有微控制器的输入和输出引脚。顶层层次模块具有输出和输入。

就像 Quartus 合成器只是因为我的 ROM 而没有检测到我的 RTL。

0 投票
1 回答
619 浏览

vhdl - VHDL-2008 外部名称:参考verilog net?

是否可以使用 VHDL-2008 分层引用/外部名称来引用 Verilog 网络?Questa Sim (10.6c) 停止模拟并显示以下错误消息:

vsim-8509:“dut_i.my_net”的对象类“SIGNAL”与表示对象的类“net”不同。

这是失败的 VHDL 代码:

0 投票
1 回答
762 浏览

vhdl - 使用 QuestaSim 编译 VHDL 时警告“范围选择方向不能确定聚合索引范围方向”

在 QuestaSim 中编译 VHDL 时,我收到一个我不明白的警告:

(vcom-1514) 范围选择方向 (downto) 不能确定聚合索引范围方向 (to)。

触发警告的代码类似于

在架构之前begin,然后在一些过程中

上面的行将触发警告,而

没有,即使fooisdownto和 not的索引方向to

有谁知道为什么在这种情况下我应该使用to而不是使用索引downto

0 投票
1 回答
165 浏览

verilog - Questa 10.7b 中没有关于端口和分配的警告

我有一个简单的代码:

如您所见输入是分配的,那是错误的..但没有显示警告;我的 compile.do 脚本:

和 sim.do:

我怎样才能看到警告?如果我这样做assign a=b;(也应该是错误,因为 b 是输出)也没有错误,只是 a 是 h'x;

0 投票
1 回答
983 浏览

verilog - 如何在 Modelsim/Questasim 中指定波形高度?

我正在使用 QuestaSim 70.7b;而且我无法改变波形信号的高度。我尝试了很多选项,比如:

但是什么也没发生,只是改变了 name 信号的高度,如下图所示

在此处输入图像描述

*感谢 dave_59,要更改高度,您应该转到 Tools -> Edit Preferences 并按此顺序更改 WaveFont 和 footerFont,然后单击应用并确定。

0 投票
1 回答
199 浏览

system-verilog - 如何显示来自 Modelsim / Synopsys 模拟器的 Verilog 力列表?

对于 ncsim https://community.cadence.com/cadence_technology_forums/f/functional-verification/17382/ncsim-how-to-display-list-of-verilog-force-from-inside-verilog-testbench

如何跟踪 Verilog 强制列表,是否有任何基于模拟器/语言的摘要?

0 投票
2 回答
157 浏览

verilog - 实时 CPU 时钟与高频软件时钟

我很想了解在模拟器中生成软件时钟所使用的技术。我的机器的频率只有 ~2.4GHz,但我可以使用模拟器生成高达 500THz 的时钟(请参阅下面的系统 Verilog 片段)。

这个更高的频率只是软件错觉还是与 CPU 晶体振荡器有任何联系?

0 投票
2 回答
516 浏览

system-verilog - Cadence IUS 模拟器选项

NC 模拟器中的 -INcdir 和 +incdir+ 选项有什么区别?

下面是 Makefile 中的示例命令。据我所知,测试台目录是使用-INcdir包含的,源代码文件目录是使用+incdir+命令包含的

请帮助我理解这些选项的意义。