0

NC 模拟器中的 -INcdir 和 +incdir+ 选项有什么区别?

下面是 Makefile 中的示例命令。据我所知,测试台目录是使用-INcdir包含的,源代码文件目录是使用+incdir+命令包含的

ncvlog -SV -INcdir ../include -INcdir ../src -64bit ../src/top.sv ../src/test.sv;
ncelab ncelab.log top +incdir+../../../sv/src +incdir+../../../sv/include

请帮助我理解这些选项的意义。

4

2 回答 2

1

他们俩的意思是一样的。最初的 Verilog 模拟器使用该类型的参数+incdir+来指定包含路径。由于遗留原因,这些选项仍然存在。+incdir+出于同样的原因,您会注意到其他工具也提供.

于 2019-08-05T19:58:59.320 回答
1

我同意都铎王朝。它们实际上是同一个意思。

+incdir+ arg被 ncvlog -incdir arg替换,与+define+ arg被 ncvlog -define arg替换的方式相同。

它在 Cadence NC-Verilog Simulator 用户指南中称为“Plus”选项翻译。

用户指南截图

于 2019-08-07T11:21:59.817 回答