问题标签 [active-hdl]

For questions regarding programming in ECMAScript (JavaScript/JS) and its various dialects/implementations (excluding ActionScript). Note JavaScript is NOT the same as Java! Please include all relevant tags on your question; e.g., [node.js], [jquery], [json], [reactjs], [angular], [ember.js], [vue.js], [typescript], [svelte], etc.

0 投票
2 回答
957 浏览

vhdl - Active-HDL 仿真时钟交叉

我有 2 个模块使用相同的时钟但在不同的文件中,当我在模块 B 中对来自模块 A 的信号进行采样时,在波形模拟中,它不会像应该的那样在一个时钟周期后获得样本,它表明这是样本相同的上升沿(适合异步实例化的行为)。

有人告诉我这是因为 Active-HDL 由于组件不同而将其视为 2 个不同的时钟,这就是为什么它在相同的上升沿进行采样(因为信号从 A 到 B 的增量时间)。

我如何定义 Active-HDL 将理解它们都在同一区域使用相同的时钟?

0 投票
1 回答
2990 浏览

verilog - 通过 Active HDL 对 FIFO 模块进行 RTL 仿真(在 Lattice Diamond 上)

我在莱迪思半导体制造的 MachXO2 分线板上评估 FPGA。现在我正在尝试由 IPExpress 生成的 FIFO_DC 模块的 RTL 模拟。

我为 FIFO 模块创建了一个简单的测试平台。当我从仿真向导启动 Active-HDL 时,我收到以下错误消息。

我无法从 Lattice 获得任何支持,因为这项工作只是我的爱好,而不是我的工作。你能给我一个关于这个问题的建议吗?任何帮助,将不胜感激。提前致谢。

Active-HDL 控制台上的错误消息

ELAB2:致命错误:ELAB2_0036 未解析从模块“FifoTest_tb.fifo.FifoMacro_0_3”中对“PUR_INST.PURNET”的分层引用(未找到模块)。

示例 HDL

[FifoTest_tb.v] --> FIFO 模块的测试平台

[FifoMacro.v] --> IPExpress自动生成的文件(FIFO_DC模块)

开发环境

格子钻石 3.0.0.97

Active-HDL 版本 9.2

目标设备:LCMXO2-1200ZE-1TG144C

0 投票
1 回答
645 浏览

vhdl - 格子钻石2.1

我将我的机器从 WinXP 升级到 Win7,同时安装了 Lattice Diamond 3.1。我的更复杂的模拟挂起,Active-HDL 使用 100% 的 CPU 时间并且显然处于无限循环中。愚蠢的是,我没有安装 Lattice Diamond 2.1 或 2.2,而且令人难以置信的是,Lattice 只允许您下载最新版本。没有退路!

有没有人有 Lattice Diamond 2.1 或紧要关头 2.2 的安装文件?如果有的话,我可以提供一个 FTP 来安装它。我知道它是一个大文件,可能 1G+。

实际上,我可以将 Win7 中的 Active-HDL 9.2 目录复制到另一台机器上的虚拟框中,然后覆盖 Active-HDL 9.4 目录。我仍然不介意旧的安装文件,但至少我现在可以模拟。而 Diamond 3.1 实际上可以消除 bkm 警告和错误。2.1 中有两个错误,技术支持实际上承认我的警告是钻石错误而不是我的代码中的缺陷。

0 投票
2 回答
930 浏览

vhdl - Aldec Active-HDL:GUI 中的 vlib 在没有可用库的情况下给出“警告:无法创建库”

从 Aldec Active-HDL GUI 中,vlib应该创建一个工作库,例如:

这将在当前目录下创建一个“my_lib”目录,但带有警告:

警告:无法创建库

随后set worklib my_lib失败并出现错误“错误:未加载设计。”,并且编译vcom -work my_lib tb.vhd完成而没有输出,并且既不将任何内容编译到“my_lib”目录。所以看起来即使创建了“my_lib”目录,它也不能作为 VHDL 编译的“my_lib”库使用。

如果通过它使用 Aldec Active-HDL 命令行界面 (CLI)vsimsa.bat可以正常工作。

vlib从 GUI Tcl 控制台窗口使 Tcl 命令工作需要什么?

可能看起来问题是本地“library.cfg”文件不是通过doing创建的vlib my_lib,那么在这种情况下,如何创建一个本地“library.cfg”文件以进行简单的模块编译和模拟?

0 投票
1 回答
1203 浏览

vhdl - 如何使用 Tcl 脚本对波形进行简单的 Aldec Active-HDL 仿真?

有一个简单的测试台,如:

ModelSim GUI 允许使用“all.do”中的 Tcl 脚本进行仿真和波形查看,其中:

ModelSim GUI 控制台中的何处do all.do将生成库、编译、加载 tb 模型并显示波形:

在此处输入图像描述

如何使用 Aldec Active-HDL 模拟器为类似的模拟制作类似的简单 Tcl 脚本?

0 投票
1 回答
271 浏览

vhdl - ACtive-HDL 中的结构架构仿真

我编写了两个在 ISE Design Suite 中成功模拟的代码:

问题是当我想在 Active-HDL 中模拟 MUX6to1 时,输出根本不会改变。这个节目有什么秘诀?泰。

0 投票
1 回答
819 浏览

vhdl - 如何在 Aldec Active-HDL 学生版中使用 vsim.exe (asim)?

我已经安装了最新的 Aldec Active-HDL(学生版)。

使用 QuestaSim编译源文件,其工作方式vlib.exevcom.exeQuestaSim 类似,但vsim.exe行为不同。此外,vsim.exe没有内置帮助-h--help.

测试台被编译成 VHDL 库test,测试台命名为sortnet_BitonicSort_tb.

TCL 脚本包含运行选项:run -allendsim. GUI 模式脚本仅包含run -all命令。

我的命令行:

如何使用 TCL 脚本在控制台模式下运行我的测试台?
以及如何使用 TCL 脚本在 GUI 模式下运行测试平台?

编辑:

执行此命令行:vsim.exe -work test sortnet_BitonicSort_tb给出“拒绝测试访问”。弹出窗口,当 GUI 启动时。

0 投票
1 回答
1724 浏览

vhdl - 如何在波形窗口中查看 Active-HDL 的仿真结果?

我用 Active-HDL 支持扩展了我的测试台脚本。Active-HDL 的行为主要类似于 QuestaSim 或 ModelSim,甚至命令行参数也相似。

我安装了 Lattice Diamond 3.7 的 Active-HDL Student Edition 和 Active-HDL Lattice Edition。我目前使用的是后者,因为这个版本附带了一个完整的 vsimsa(vsim 独立)环境。

我的脚本分 3 个步骤处理选定的测试平台:

  1. vlib.exe它使用(alib)创建所有必要的 VHDL 库
  2. vcom.exe它用(acom)编译所有 VHDL 源文件
  3. vsimsa.exe使用 TCL 命令启动:
    asim -lib test arith_prng_tb; run -all; bye

模拟运行并显示良好的输出:

可以看到,asim 创建了一个wave.asdb文件,可以从 GUI 加载该文件,但它是空的(没有信号)。

所以我的问题是:

  • 如何将信号跟踪到该波形数据库文件中?
  • 如何从 GUI 中的命令行打开此文件?
0 投票
1 回答
395 浏览

vhdl - 4位ALU的vhdl代码和流程的理解?

我在这里制作 4 位 ALU 我已经声明了实体

你能解释一下逻辑向量数组是如何工作的吗?我的意思是语法

并且

运算符 =>

2-顺序设计、组合设计和分层设计有什么区别,告诉我ALU CPU都是编码的?

0 投票
1 回答
828 浏览

vhdl - VHDL 中的电梯项目可以编译,但在模拟中不起作用

我正在尝试用 VHDL 制作电梯,以在 FPGA 上实现。它有0-12层,外面有上下按钮,取决于你想去的方向,里面有按钮。我首先检查外部按钮是否工作,内部的实现是否相同。现在它可以编译,但是仿真波形崩溃了。