有一个简单的测试台,如:
entity tb is
end entity;
architecture syn of tb is
signal show : boolean;
begin
show <= TRUE after 10 ns;
end architecture;
ModelSim GUI 允许使用“all.do”中的 Tcl 脚本进行仿真和波形查看,其中:
vlib pit
vcom -work pit tb.vhd
vsim pit.tb
add wave sim:/tb/show
run 20 ns
ModelSim GUI 控制台中的何处do all.do
将生成库、编译、加载 tb 模型并显示波形:
如何使用 Aldec Active-HDL 模拟器为类似的模拟制作类似的简单 Tcl 脚本?