2

有一个简单的测试台,如:

entity tb is
end entity;

architecture syn of tb is
  signal show : boolean;
begin
  show <= TRUE after 10 ns;
end architecture;

ModelSim GUI 允许使用“all.do”中的 Tcl 脚本进行仿真和波形查看,其中:

vlib pit
vcom -work pit tb.vhd
vsim pit.tb
add wave sim:/tb/show
run 20 ns 

ModelSim GUI 控制台中的何处do all.do将生成库、编译、加载 tb 模型并显示波形:

在此处输入图像描述

如何使用 Aldec Active-HDL 模拟器为类似的模拟制作类似的简单 Tcl 脚本?

4

1 回答 1

5

用于 Tcl 使用的 Aldec Active-HDL 文档对于如何从 GUI 使用 Tcl 非常模糊,但有足够的时间反复试验得出了积极的结果。

看来需要用设计创建工作区,由此也创建了工作库,然后可以将设计文件编译到库中。

生成的 Active-HDL 的 Tcl 脚本是:

workspace create pit    # Create workspace namded "pit" and open this
design create -a pit .  # Create design named "pit" with "pit" library as work and add to workspace
acom $DSN/../tb.vhd     # Compile "tb.vhd" file with location relative to workspace
asim work.tb            # Load simulator from work library
add wave /tb/show       # Add wave "show" to waveform
run 20 ns               # Simulate 20 ns

这将给出波形:

在此处输入图像描述

于 2015-06-19T11:19:34.837 回答