问题标签 [logarithm]

For questions regarding programming in ECMAScript (JavaScript/JS) and its various dialects/implementations (excluding ActionScript). Note JavaScript is NOT the same as Java! Please include all relevant tags on your question; e.g., [node.js], [jquery], [json], [reactjs], [angular], [ember.js], [vue.js], [typescript], [svelte], etc.

0 投票
1 回答
1794 浏览

cryptography - 找出一个数字在 Maple 中的位数

我正在尝试实现生成 RSA 密钥的基本过程。该过程接受一系列数字 a 和 b。它必须检查 a 和 b 之间的间隔是“五位数”。

所以我想出了一个解决方案:

事情是:Maple 似乎将 p 和 q 理解为类型函数的变量。我想使用 log10 来找出素数有多少位,以便计算安全的 RSA 密钥。所以evalb失败了,因为它无法确定两个对数??

0 投票
2 回答
7380 浏览

ruby - 在 Ruby 中计算 Base-n 对数

这个看起来很简单,但我在 Ruby 中计算日志(Base 5)时遇到了麻烦。

显然,标准 base-10 日志可以正常工作:

但在我的项目中,我需要使用 Base 5。根据 ruby​​ 文档(http://www.ruby-doc.org/core/classes/Math.html#M001473),我似乎应该能够做到这一点:

Math.log(num,base) → 浮点数

它不喜欢哪个。有人知道如何在 ruby​​ on rails 中计算 base-n 中的日志吗?

谢谢!

0 投票
1 回答
551 浏览

javascript - 对数滑块返回 NaN

我正在尝试按照对数滑块上的示例进行操作。

这是我正在使用的代码:

由于某种原因,我得到了 NaN 。有人知道我做错了什么吗?

0 投票
5 回答
21449 浏览

c - C中的双等于0问题

我正在实现一种算法来计算 C 中的自然对数。

如 print 语句所示,tmp 最终确实等于 0.0,但是,循环继续。这可能是什么原因造成的?

我在 Fedora 14 amd64 上编译:


例子:

0 投票
3 回答
12197 浏览

algorithm - O(n log log n) time complexity

I have a short program here:

The asymptotic running time of this is O(n log log n). Why is this the case? I get that the entire program will at least run n times. But I'm not sure how to find log log n. The inner loop is depending on k * k, so it's obviously going to be less than n. And it would just be n log n if it was k / 2 each time. But how would you figure out the answer to be log log n?

0 投票
2 回答
125 浏览

c++ - 如何计算减少的值何时达到某个点?

我确定我以前在学校有过这个,但我不记得这个东西叫什么了。

我有任意数字,我需要知道我可以将它乘以 0.9(或任何其他值 0-1)多少次,直到原始数字剩下的 x 小于 x。

在循环格式中,它看起来像:

但这甚至可以在没有循环的情况下完成吗?有对数的东西?

0 投票
1 回答
2170 浏览

java - 在 JFreeChart 中手动指定对数轴上的刻度线

使用 JFreeChart 我有一个带有 LogAxis 的图,在 XYPlot 的域轴上带有刻度线,这些刻度线是根据图形的宽度自动确定的。我的域值是 0.01、0.05、0.1、0.5、1、5 和 10;因此,刻度线与我拥有的域值不一致。有没有办法手动指定轴的刻度线而不是自动计算它们?我尝试了 SimpleAxis 类,但它似乎只提供线性轴,而不是对数。

0 投票
2 回答
5004 浏览

cuda - 在 cuda 中求对数

如何在 cuda 中找到对数?我正在寻找设备功能。

谢谢

0 投票
5 回答
32771 浏览

verilog - Verilog 中的对数

我在verilog中有一个看起来像的语句integer level = log(N)(其中N是一个参数,级别要确定)但我知道我不能在verilog中做复杂的数学语句,所以我想知道是否有上述问题的替代解决方案?

任何反馈表示赞赏!

0 投票
5 回答
1481 浏览

java - 计算对数

我正在尝试编写一个方法,该方法采用基数k和值n到小数点后 2 位,然后在不使用任何 Java 的 Math.log 方法的情况下计算 n 的对数基数 k。这是我到目前为止所拥有的:

当我尝试计算 5.0625 的日志基数 4 时出现问题,它返回 2.0,但应该返回 1.5。

我不知道为什么这不起作用。任何帮助表示赞赏。

不,这不是家庭作业,它是我试图解决的问题集的一部分。