问题标签 [seven-segment-display]

For questions regarding programming in ECMAScript (JavaScript/JS) and its various dialects/implementations (excluding ActionScript). Note JavaScript is NOT the same as Java! Please include all relevant tags on your question; e.g., [node.js], [jquery], [json], [reactjs], [angular], [ember.js], [vue.js], [typescript], [svelte], etc.

0 投票
0 回答
442 浏览

android - Text Recognization :: Google ML Kit does not recognizes seven segment display numbers and decimals

I am trying to get numbers from seven segment display image using ML kit but it does not recognizes the numbers or decimals from the image. It recognizes the normal text and number from image but not from the seven segment display image. Please refer below for my findings.

1.Works fine with normal text and numbers

a)With Text

With Numbers

2.Does not recognizes the number from seven segment display image

Seven Segment Display image

Is there any other way to achieve this?

0 投票
2 回答
1000 浏览

vhdl - 在 7 段显示器上显示 3 位

我想在按下适当的开关的 4 位 7 段显示器上显示 3 位二进制。例如:- 如果开关位置为 001(switch2-off switch1-off switch0-on),那么我想在 7 段显示器上显示 001。我使用 VHDL 来做这件事。尝试了多路复用,但仍然无法正常工作。下面是代码。

0 投票
1 回答
118 浏览

arrays - (VHDL) 尝试从数组输出时收到错误消息

我正在尝试将数组内的数据输出到我的 DE1-SoC 板上的 7 段显示器。

这是我的变量:

display : out std_logic_vector (6 downto 0);

type bigDisplay is array (0 to 4, 0 to 6) of bit;

signal displayArray : bigDisplay;

这是代码:

display <= displayArray (0, 6-0);

这是我收到的错误:

Error (10381): VHDL Type Mismatch error at Final_Project.vhd(326): indexed name returns a value whose type does not match "std_logic_vector", the type of the target expression

所以,我猜我需要将我的位数组转换为输出到 std_logic_vector?我该怎么做?

0 投票
2 回答
181 浏览

if-statement - 如何在 arduino 中编写程序以将变量从 0 更改为 9,然后从 9 更改为 0 等等?

我目前正在构建一个七段显示,我希望它显示从 0 到 9 的所有数字,反之亦然。我已经能够显示 0 到 9 之间的所有数字,但我一直在尝试显示 9 到 0 之间的数字。一旦达到 9,它就会从 0 重新开始。你能帮帮我吗?提前非常感谢你<3

0 投票
1 回答
195 浏览

c++ - 带有 pic18f445k22 的 7 段显示数字时钟

有人可以帮我吗?我写了下面的代码来运行一个数字时钟,但是当我在 proteus 中模拟时,LED 只闪烁,显示屏只显示 00:00,它从不计数。我使用定时器 0 和定时器 1 产生 1 秒的延迟

有什么建议吗??

函数和变量

中断(定时器 0 和定时器 1)

主功能

时钟功能

显示功能

0 投票
1 回答
160 浏览

mplab - 如果我在不同的端口中有七个段引脚,我该怎么办?

在我的电路中,7 个段引脚位于不同的端口中,例如:Seg A 到 SegD(在 RA0 到 RA4)SegE(在 RF1 中)SegF(RG4)SegG(RG3)。我可以通过一一设置位来数 0 到 9。我需要计算 0-9999 但我无法存储值。我怎么能为我的规格做到这一点?

0 投票
1 回答
645 浏览

c - 关于两个七段灯显示0x00到0xFF的问题

有人可以帮我吗?我一直在研究并试图让这个工作,但我不走运。我在网上找到的所有代码都不起作用...现在的输出是 00、11、22、33、... FF 并回滚回 00。如何分隔第一个和第二个数字显示?就像我希望它显示从 0 到 255(00、01、02...FF)?

要求:

当电路首次通电时,七段 LED 将从 0x00 开始计数。

决斗段 LED 将计数至 0xFF,每次增加 1。计数必须是连续的。在数字 2 上将 0 到 F 计数为 0,然后将数字 1 增加 1 是不可接受的。计数应像计数器一样执行(0x00 到 0x0F,然后是 0x10 等)。

一旦计数达到 0xFF,计数将从 0x00 重新开始。

代码将在增加计数之间包含足够的延迟,以便可以直观地确认计数是否按设计运行。

换句话说,上述情况将无限循环,直到设备断电。


源代码:

7段电路原理图

0 投票
1 回答
519 浏览

vhdl - Basys2 FPGA板上的VHDL简单七段显示

所以我是 FPGA 世界的新手,我是 VHDL 语言的新手。我试图点亮我的七段显示器,但我总是遇到错误。下面的代码仅适用于在开关打开时在七段显示第一,当您打开开关时,LED 会打开。

我试图这样做:

用七段开关点亮任何灯条,但我不断收到此错误:

信号段<1> 无法合成,同步描述错误。当前软件版本不支持您用于描述同步元素(寄存器、内存等)的描述样式。

我正在寻找一种用开关点亮七段的方法,哦,这是我第一次使用时钟,所以如果我的实现有误,我深表歉意。任何帮助,将不胜感激。谢谢。

0 投票
2 回答
964 浏览

javascript - 将七段转换为数字

我想在java中将七个段转换为普通字符串。例如,如果输入这样的字符串

输入

输出应该像

我找到了这个 JavaScript答案,我正在尝试将其转换为 java。

现在我有:

任何帮助都会有帮助

0 投票
1 回答
1799 浏览

arduino - 如何在 UnoArduSim 中使用七段

我是一名学习编程的初学者,正在使用 UnoArduSim(一个 Arduino 模拟)。我仍然对如何使用七段模块感到困惑,因为只有 2 个地址,即引脚地址和 cs *。

您如何使用它以及程序语法如何?

arduino 模拟器的图像