问题标签 [seven-segment-display]

For questions regarding programming in ECMAScript (JavaScript/JS) and its various dialects/implementations (excluding ActionScript). Note JavaScript is NOT the same as Java! Please include all relevant tags on your question; e.g., [node.js], [jquery], [json], [reactjs], [angular], [ember.js], [vue.js], [typescript], [svelte], etc.

0 投票
2 回答
9635 浏览

ios - 7段显示OCR

我正在使用 Tesseract(一个 OCR 库)构建一个 iOS 应用程序(拍照并在其上运行 OCR),它可以很好地处理书写良好的数字和字符(使用常用字体)。

我遇到的问题是,如果我在 7 段显示器上尝试它,它会产生非常非常糟糕的结果。

所以我的问题是:有谁知道我该如何解决这个问题?Tesseract 有没有办法识别这些字符?

0 投票
3 回答
28478 浏览

ocr - 通过 Tesseract OCR 在七段显示器上进行文本检测

我正在运行的问题是从图像中提取文本,为此我使用了 Tesseract v3.02。我必须从中提取文本的示例图像与仪表读数有关。其中一些具有实心纸背景,其中一些具有 LED 显示屏。我已经为实体表背景训练了数据集,结果有些有效。

我现在遇到的主要问题是带有 LED/LCD 背景的文本图像,Tesseract 无法识别,因此没有生成训练集。

任何人都可以指导我如何将 Tesseract 与七段显示器(LCD/LED 背景)一起使用,或者我可以使用其他替代方法来代替 Tesseract。

LED背景图1 LED背景图2 Meter 1 带纯色纸背景 在此处输入图像描述 在此处输入图像描述

0 投票
1 回答
4607 浏览

verilog - Verilog:使用案例的任务没有选择正确的案例

我有以下任务,但是当我使用该任务时,它没有给我正确的数字输出,我尝试不使用该任务,但它给了我正确的输出。任何人都可以看看我有什么问题吗?

例如当 display(17,hex3) 时,都显示全部关闭,而不是全部关闭和数字 '1'。

我对 4 位使用 7 段,十六进制 [6:0],1 关闭。

0 投票
1 回答
8393 浏览

counter - 具有启用和重置功能的行为 verilog bcd 递增递减计数器

我最近需要使用启用和重置来制作一个 BCD 加减计数器。我总是有三个块,但我不知道如何将它们连接在一起。

我的代码来自老师给出的代码段。我之前写了一个结构 Verilog 做同样的功能,但我不知道如何将它转换为行为类型。

这是我的代码:

0 投票
1 回答
2791 浏览

vhdl - 计数器 VHDL 多路复用器 7 段

我是 VHDL 新手,我的代码可能看起来很愚蠢,但我仍在苦苦挣扎。我正在尝试使用 Spartan 3 套件制作 BCD 计数器。我在复用 7 段时遇到问题,我知道我应该使用组件,但我选择了更简单的方法。我在综合中收到此错误:“第 103 行:过程敏感度列表中缺少一个或多个信号”。为了启用 FPGA/CPLD 硬件的合成,XST 将假定所有必要的信号都存在于灵敏度列表中。请注意,综合结果可能与初始设计规范不同。缺少的信号是:任何帮助表示赞赏。谢谢你。

0 投票
1 回答
207 浏览

verilog - 案例语句返回不正确的值

我正在尝试执行此 7 段显示功能。输入“rn”是我要显示的数字,但是当我在 ISE 套件上进行模拟时,它只能在等于 0 或 1 时识别“rn”。任何大于该值的值都会失败,所以输出 seg[7:0] 将只有 8 位 0。

任何帮助都会有所帮助。

0 投票
1 回答
1525 浏览

verilog - 在 7 段上显示随机生成的数字

我只能使用四个 7 段显示器之一。如果我注释这行代码led ledh(rn1_temp[7:4], segh_temp);,它会运行良好,即使有一些警告消息。但是当我尝试使用其中两个来显示一个 5 位数字时,它给了我这两个错误。

我需要一些慢时钟或某事吗?我不知道这段代码有什么问题。任何帮助将不胜感激。

0 投票
1 回答
11837 浏览

arduino - 使用74HC595移位寄存器控制4位7段LED显示屏

我在尝试使用两个 595 移位寄存器在 4 位 7seg 显示器上输出数字时遇到了麻烦。

我已经到了正确显示数字的地步,但我现在遇到的问题是输出在显示的数字之间闪烁一些垃圾。我该如何防止这种情况发生?

我很确定问题在于,当我使用字节发送到寄存器时,它会在显示的字节之间锁存。

这是我的代码

0 投票
1 回答
3590 浏览

vhdl - VHDL Counter using switch on 7-segment - not working

I'm trying to code for a simple counter (0 to 9) displaying on a seven segment display. The way it increments is via a switch - going from a logic 0 to logic 1 which increments it by 1. There is also a rest capability which is meant to reset the counter to 0.

I have looked on here and got the majority of my code sorted. I have simulated this on ModelSim when compiling and it works as expected. However when I download the code to my DE0 board, it doens't work - I can't even describe what it does as it's so random and there's no discernible pattern (random LEDs will light up, random numbers will appear etc.).

The reset (which sets the counter to 0 and outputs a 0 to the 7-segment) works fine though!

Here's my code so far:

Any help would be appreciated as to what is going wrong?

EDIT:

This from an assignment I am doing for my university course. The question actually wants a way to keep score for two "teams" by way of pushing a button/switch and then resetting it. I figured if I could have got a simple counter working then I could easily (I hope!) by way of keeping score for 2 teams.

The DE0 board does have buttons which are debounced - but when I altered the code so that it used the buttons, the 7-segment would display a random value/pattern WHILE the button was pressed and then change to another random value/pattern when the button was let go.

0 投票
1 回答
1224 浏览

hex - 七段解码器

所以我正在尝试设计七段解码器。在 110 按下按钮时,LED 显示屏应显示 1 位十六进制数:0,1,2,3,4,5,6,7,8,9,A,B,C,D,E,F。但是,当在 101 处按下 Button 时,LED 显示屏应显示 1 位十进制数:0,1,2,3,4,5,6,7,8,9。

这是我的警告:

这是我使用 Xilinx 设计工具的代码: