问题标签 [pwm]

For questions regarding programming in ECMAScript (JavaScript/JS) and its various dialects/implementations (excluding ActionScript). Note JavaScript is NOT the same as Java! Please include all relevant tags on your question; e.g., [node.js], [jquery], [json], [reactjs], [angular], [ember.js], [vue.js], [typescript], [svelte], etc.

0 投票
1 回答
982 浏览

atmega - 快速 PWM 和 Atmega1280

您好我有一些问题要了解如何将计时器绑定到引脚,因此我的代码没有运行......

我的问题是如何将定时器分配给 PB7 ?

我的目标是使用 FastPWM 模式在 PB7 上闪烁 LED...

提前致谢

0 投票
1 回答
1391 浏览

c - PIC24FJ64GA002 中的可变 PWM 设置

我正在研究需要在 RGB LED 上显示不同颜色的项目。我正在使用 pwm 在 LED 上驱动不同的颜色。我的图片是 PIC24FJ64GA004,我现在正在使用它。这个项目的基本概念是使用开关来控制颜色。

RGB LED 上的颜色将根据一年中的日期和月份。为此,我使用 7 段 LED 开关来计算日期和月份。

目前的问题是以下代码。我正在尝试通过以下设置更改 PWM 值。但它没有改变它,而是给了我一些奇怪的东西。我需要你们的帮助。你能帮我解决这个问题吗?

但是这段代码工作正常。我也输入了不同的值。它工作正常。

0 投票
1 回答
747 浏览

webserver - 使用 Raspberry Pi 本地服务器控制伺服系统

我们正在研究在 Pi 上运行服务器,并通过 webapp(通过本地网络)与它通信以控制 2-3 个伺服器。Rpi 似乎只有一个硬件配置的 PWM 引脚,但这可以通过servoblaster 解决。然而,由于 Servoblaster 使用了 Rpi 的 DMA,它会干扰 Pi 操作 Web 服务器的能力吗?如果这个问题不清楚,我很抱歉我对所涉及的软件/网络概念有些不熟悉。此外,是否有进一步了解 DMA 功能的好资源?

提前感谢您的帮助。

0 投票
0 回答
1862 浏览

timer - 在 Arduino 中使用定时器和 PWM

我试图在其他代码运行时让 LED 淡入淡出,作为一个很好的状态指示器。我在这里问过如何做到这一点,我得到了使用 msTimer2 库的建议。这似乎有效,直到我尝试在代码中使用analogWrite。它只是跳过了那部分。当我删除该库时,它再次工作,但当然我没有状态指示器工作。为什么我的代码不起作用?

0 投票
3 回答
2939 浏览

c++ - 在 Arduino 上使用最少数量的 PWM 引脚连接多个 RGB LED?

我目前有一个阳极RGB 连接到我的 arduino 上的 11、10 和 9 个 PWM 引脚。但是我想在我的项目中再添加 3 个 LED,但我不想占用每一个 PWM 引脚。有没有办法让我在使用最少数量的引脚的同时连接所有 4 个 LED?请记住,我确实想将所有 12 个电阻器用于 4 个 LED。哦,如果有帮助的话,所有的 LED 都会做同样的事情(它们都是红色的,都变成蓝色,等等)。

这是我的电路板现在的样子:

在此处输入图像描述

如果有人可以帮助我,那就太棒了!!!!感谢帮助!

PS 我附上了 .fzz 文件,这样如果你们中的任何人想编辑原理图,这将非常容易。点击这里。

0 投票
1 回答
1356 浏览

arduino - 产生带中断的 PWM

我正在使用Arduino Mega 2560。我目前遇到的问题是我使用的伺服电机在空闲状态下会发出轻微的噪音。这是因为它不是一个纯粹的信号。如何为微控制器生成带有中断的 PWM?

每次使用中断都会将计数器放在完全相同的位置吗?如果没有,我该如何处理高分辨率伺服?

0 投票
0 回答
1439 浏览

assembly - 如何更改 PWM MSP430G2553(不允许使用)中的占空比?

首先,我不能用 C 编码,它必须只是汇编。其次,我几乎不懂汇编,所以你可能不得不为我笨拙。我目前正在研究改变 PWM 的占空比(我相信这会改变亮度?)。我在这个假设中正确吗?我想慢慢地把亮度增加到满,然后慢慢地减少它,不断地。我的时间非常有限,因为我以为我已经弄清楚了,但显然我没有。我的代码如下,如果有人能解释我做错了什么,我将不胜感激!

0 投票
2 回答
2116 浏览

triggers - stm32f405产生触发信号pwm

我正在尝试使用三个定时器生成相移 PWM 信号。

  • TIM1 用作参考(以 1MHz 运行)
  • TIM3 用作相移 TIM4 的触发器
  • TIM4 用于产生由 TIM3 触发的相移信号

总结:TIM1 --- 触发器 --> TIM3 --- 触发器 ---> TIM4

信号应如下所示:

这是我当前的代码。参考在 1MHz 下正确运行。但是触发信号现在不起作用。错误应该在 initReferenceTimer() 或 initReferencePWM() 函数中的任何位置。到目前为止,它无法像上面提到的那样生成触发信号。所以我无法测试相移信号是否会被正确触发。

有人对此有好主意吗?

为了调试,我还将触发信号绑定到输出引脚。

0 投票
1 回答
3109 浏览

matlab - 使用嵌入式 Matlab 函数

再会!

请考虑以下几点:

我想square()使用“嵌入式 Matlab 函数”在 Simulnk 中使用 Matlab 函数生成方波。我通过使用 尝试了相同的操作eml.extrinsic,但我不断收到错误消息

请看以下屏幕截图:

SIMULINK 中的块 所述块的代码 错误列表

该模块背后的想法是根据定义的频率范围生成方波。

  • a= 幅度
  • f= 频率
  • dc= 占空比

请让我知道我做错了什么?或者指出我必须阅读的内容才能理解我的错误?或者提供替代方法来完成我想要的。

提前致谢!

0 投票
1 回答
957 浏览

vhdl - VHDL-PWM 怪异行为和物理上限/下限

我正在尝试使用 VHDL(Xilinx ISE+ISim)中的 Spartan 3e 板生成皮秒 PWM 信号。

我将嵌入式 50Mhz 用于全局时钟(C9),但模拟显示出奇怪的行为;从 0ps 到 1000000ps clk(时钟)和 pwm_out(输出)似乎总是高,并且在 ISim 下的时域中 clk 和 pwm_out 在 1000000ps 之后什么都没有。

我正在尝试做的是调查和解决这种行为,然后增加输出频率(pwm_out)。此外,我想了解生成脉冲的速度(上升/下降时间和频率)(物理限制)。

我希望有经验的用户提供一些指导。