问题标签 [vivado]

For questions regarding programming in ECMAScript (JavaScript/JS) and its various dialects/implementations (excluding ActionScript). Note JavaScript is NOT the same as Java! Please include all relevant tags on your question; e.g., [node.js], [jquery], [json], [reactjs], [angular], [ember.js], [vue.js], [typescript], [svelte], etc.

0 投票
3 回答
3695 浏览

tcl - 运行文件夹中的所有 TCL 脚本

我有一个包含许多 TCL 文件的文件夹,我需要全部运行它们(在 Vivado 中)。我怎样才能节省一次运行所有这些的时间?有没有像: source [path/]*.tcl 这样简单的东西?

0 投票
1 回答
210 浏览

xilinx-ise - xilinx virtex 5 板中部分重新配置的起点

我将学习使用部分重配置 xilinx 板。我已阅读 xilinx 指南并了解 ISE、提前计划和 vivado。但一开始我找不到任何例子。是否有简单的示例代码开始?制作部分重新配置项目的步骤写在 xilinx 用户指南(ug720)中,但没有任何 verilog 或 vhdl 代码可以合成并继续使用它们!是否有简单的代码可以从它们开始?

0 投票
3 回答
6591 浏览

vhdl - 将库添加到 Vivado 2014.4

我对 Vivado 和 VHDL 很陌生,我想要一些关于基本问题的指导。

我猜我可以创建自己的库并在我的项目中使用它们,就像使用默认库和基本库一样

例如:

现在,通过在网上浏览,我没有找到任何具体的答案,没有任何直接的方法可以“添加库”(至少在我的 Vivado 版本中)。

有什么方法可以使用类型定义来构建 VHDL 代码并在您喜欢的任何文件中使用它们,例如在 C 中完成的?

0 投票
1 回答
575 浏览

fft - 我无法在 Vivado 中进行合成

我在 Vivado HLS 工作,我想用测试台制作 IFFT 代码。谁能帮我?

0 投票
1 回答
306 浏览

verilog - Verlog 中的条件表达式

我想知道VIVADO中的合成器如何理解verlig中的条件运算符。像这样的表达式: A = X ? Y : -Y 将包含任何乘数,因为第二个分支中有负号。它是否首先执行 (-1)*Y 的乘法运算,或者它根本不会在硬件中使用任何乘法器。

问候, 杰特

0 投票
1 回答
2188 浏览

fpga - 使用 Vivado 在 Virtex7 上生成 sin/cos

我正在尝试在带有 Xilinx Vivado 的 Virtex 7 上的 SystemVerilog 中实现 QAM 调制器,但我一直无法生成本地振荡器的 sin 和 cos。

更具体地说,我将 I 和 Q 信号(每个 3 位)作为输入,我必须分别将它们与余弦波和正弦波相乘。乘法工作正常,但我需要一个 IP 以给定频率生成余弦和正弦。

为此,我已深入阅读以下链接提供的 DDS 编译器 v6.0 的文档,但我仍然卡住: http ://www.xilinx.com/support/documentation/ip_documentation/dds_compiler/v6_0/pg141- dds-compiler.pdf

有没有人有任何建议或示例代码来帮助我?

我提前谢谢你

编辑:

请在下面找到一些屏幕截图和我的示例代码。我不明白为什么 sin/cos 采用这些“奇怪”的值。我是否正确使用了 dds_compiler?

截图和 Vivado 项目(我还没有权限直接发布):https ://www.dropbox.com/s/xi5hralr2klk37s/dds_compiler.zip?dl=0

调制器.sv:

modulator_testbench.sv:

编辑二:

对于后验性,完整的代码可在此处获得;细节和解释可以在论文中找到。

0 投票
1 回答
1134 浏览

vhdl - Vivado 库

我正在尝试创建一个可以在 Vivado(2014.2) 中使用的组件库。我有很多 .vhd 文件,我想在将来添加更多,所以我不希望将它们全部压缩成一个 .vhd。

我可能需要使用一个包......即。

但是所有的实体和架构是否也需要在这个文件中?

然后我可以使用“使用”语句来引用这些元素。IE。使用 my_lib。但是 my_library_file 是否需要位于同一个项目中?

我希望能够制作一次这个库,并能够通过调用在任何项目中进行引用。

理想情况下,它可以像 IEEE 库一样被调用,但会引用许多 vhd 文件。

我不希望将这些单独的源文件显式添加/包含到项目中,而是希望能够将“use”子句与库和/或包一起使用……如果可能的话。

0 投票
1 回答
616 浏览

c++ - Vivado_hls 2014.4 Ubuntu 14.04 x64 vivado 包含错误

我在 Ubuntu 14.04 x64 上运行 Vivado HLS 2014.4 (x64)。在 Vivado HLS 2012 中一切正常。在 Vivado HLS 2014.4 GUI 和综合中工作,但测试台的编译没有。

我收到了成千上万个这样的错误:

我认为某种 libc6-dev-* 存在问题,但我不知道。我已经清楚地安装了系统,并且我休闲了官方安装指南。有没有人遇到过类似的问题?

0 投票
4 回答
13135 浏览

fpga - Xilinx SDK 中 Xil_Out32 的使用

在 Vivado 中,我成功地制作了一个简单的框图来控制我的 Zybo 板的 LED。我可以观察到 myLEDs is: 0x4120 0000High Address is 0x4120 FFFF. 现在,当我转到 SDK 时:

我对 FPGA 进行了编程并运行了上面的代码。但仍然没有任何成功。有人可以指出我的错误吗?

提前致谢

0 投票
1 回答
1859 浏览

ubuntu - Vivado HLS 2014.4.1 在 Ubuntu 14.10 x64 上崩溃且没有任何错误

我正在使用带有更新 1 的 Ubuntu 14.10 x64 和 Vivado Design Suite 2014.4 的清晰安装。 Vivado 运行,但 Vivado HLS 在启动时崩溃而没有任何错误。

我尝试settings64.sh了来自 vivado 的源代码,然后通过命令 vivado_hls 运行,但同样的事情发生了。有没有人遇到同样的问题?