问题标签 [icarus]

For questions regarding programming in ECMAScript (JavaScript/JS) and its various dialects/implementations (excluding ActionScript). Note JavaScript is NOT the same as Java! Please include all relevant tags on your question; e.g., [node.js], [jquery], [json], [reactjs], [angular], [ember.js], [vue.js], [typescript], [svelte], etc.

0 投票
2 回答
2218 浏览

tdd - Gallio Icarus 与 Testdriven.net

使用像 Testdriven.net 这样的 VS 集成工具或使用像 Icarus 或 NUnit GUI 这样的 GUI 测试运行器有什么区别?

你更喜欢什么,为什么?

到目前为止,我发现 Icarus 中的报告比 td.net 中的更好,后者仅具有命令行输出。但是 td.net 使用起来更快,我可以更轻松地执行单个测试,而无需先取消选中其余部分。NCover 集成也非常好。

0 投票
1 回答
904 浏览

.net - 在 Gallio / MbUnit 中运行的测试失败;无法加载 Castle DynamicProxy

我有一个 .NET 3.5 程序集,包含大约 4000 个 MbUnit 测试。我一直在使用 mbunit.cons.exe 程序运行这些程序,没有任何问题。

现在我正在尝试切换到 Gallio(生成 XML 报告时,mbunit.cons.exe 开始因“内存不足”异常而崩溃)。

在 Icarus 中运行测试时,大多数都失败了,但有以下异常:

被测程序集确实使用动态代理。动态代理程序集与被测程序集位于同一目录中。

我尝试将包含程序集的目录放在 Icarus 的“提示目录”、“应用程序基目录”和“工作目录”字段中,但这不会改变结果。

有没有人成功地同时使用了 Gallio 和 Dynamic Proxy,或者有任何关于问题可能是什么的提示?

0 投票
1 回答
934 浏览

mbunit - 无法使用 Gallio Icarus 3.2 Build 517 和 VS 2010 将调试器附加到主机

为了使用 MBUnit,我安装了 Gallio 捆绑包版本 3.2 Build 517。当我尝试通过 Icarus 测试运行器运行它们时,我的测试运行良好,但是当我点击测试运行器上的调试按钮时,它告诉我它无法连接到主机。如果我添加一些断点并将 Icarus 进程附加到 Visual Studio,断点不会命中,它告诉我没有加载任何符号。

0 投票
1 回答
202 浏览

gallio - 扩展至 icarus

是否可以对 icarus 进行扩展,以监听来自测试运行的事件并将结果处理到 ie。咆哮。我一直在搜索互联网,但找不到这样做的方法。

谢谢

0 投票
0 回答
309 浏览

gallio - Gallio icarus 调试 - 没有源文件

当我点击调试时使用gallio icarus v 3.2 build 676它会打开Visual Studio 2010但是,我没有得到我的测试的任何源代码我在执行日志中得到了调试信息并且可以在我的测试中查看icarus中的源代码,只是不能添加任何断点。

如果我从我的测试项目手动附加到gallio.icarus.exe,并在我的测试中中断,我会收到“未加载调试符号”错误

我已经检查过,我的项目正在为 gallio 和 mbunit 引用相同的 dll(直接来自程序文件/gallio/bin)

0 投票
3 回答
3081 浏览

audio - 用于打开音频文件的简单 Verilog VPI 模块

我想编写一个 VPI/PLI 接口,它将打开音频文件(即 wav、aiff 等)并将数据呈现给 Verilog 模拟器。我目前正在使用 Icarus,并希望使用 libsndfile 来处理输入文件格式和数据类型转换。

我不太确定在 C 代码中使用什么……查看了 IEEE 1364-2001,但仍然对我应该使用哪些函数感到困惑。

理想情况下,我想要一个带有数据端口(串行或并行)、时钟输入和启动/停止引脚的 verilog 模块。我想实现两个模块,一个用于从文件中回放,另一个用于记录被测过滤器的输出。

我可以在 C 中完成这一切并在我的测试平台中实例化模块,还是我必须编写一个函数(比如$read_audio_data)和包装模块来在每个时钟脉冲上调用它?

嗯,或者我可能需要创建模块然后获取它的句柄并以某种方式将值/向量传递给句柄?

我不太关心如何设置文件名,因为无论如何我可能不会从 verilog 代码中这样做。我可能会暂时坚持使用 24 位整数样本,并且 libsndfile应该很好地处理转换。也许,我现在会坚持串行(甚至可能以类似 I2S 的方式进行)并在需要时在 Verilog 中对其进行反序列化。

我还查看了 Icarus插件,它实现了一个读取 PNG 文件的摄像机,尽管图像处理还有更多方面,然后是音频。因此,该代码目前对我来说看起来有点过于复杂——我都没有设法让它运行。

0 投票
1 回答
4505 浏览

verilog - 如何使用 Verilog 任务将值输出到寄存器?

我对 Verilog 任务的理解是,它们就像子程序一样,能够接受输入和输出参数。使用$display,我可以一路查看寄存器变量的值。由于某种原因,我的输出寄存器似乎没有覆盖参数。这是一个例子:

这是icarus-verilog 模拟器的输出:

为什么调用任务data_reg时寄存器不会被覆盖copy

0 投票
3 回答
2773 浏览

verilog - 在 Icarus Verilog 中调试组合逻辑循环

我正在使用 Icarus verilog 来模拟一个相当复杂的设计。我发现在极少数情况下,我的模拟会“卡住”,即时钟不再滴答作响,并且没有任何信号似乎发生变化。我怀疑这是因为我的设计中有一个组合逻辑循环。当然,问题是我不知道在哪里。

有没有系统的方法调试这个?我只是非常努力地盯着代码,但我无法取得任何进展。任何关于我可以尝试的事情的建议都非常感谢。

0 投票
1 回答
789 浏览

verilog - 无法在iverilog中编译unisim代码

我一直在尝试使用 icarus verilog 从 xilinx 提供的 unisim 库中编译 ICAP_SPARTAN6.v。

我得到下面的编译错误:

引用的相关代码行如下:

我还想指出,我不太清楚 tri 究竟是如何工作的。我认为它类似于电线,除了有 3 种状态,但它后面的括号对我来说没有意义,这使得调试更加困难 :) 任何帮助将不胜感激。-谢谢

0 投票
2 回答
1006 浏览

verilog - 我如何说服iverilog vpi 是系统功能而不是任务

我正在尝试在iverilog 中使用一个vpi 函数,该函数将在调用它后将一个值返回给verilog 测试台。它编译正常,但在我运行时返回以下内容

我已将代码的相关部分放在下面。如果我能获得一个示例,包括使用在 iverilog 中返回一个值的 vpi 函数的编译和运行过程(我确实在 google 中搜索但没有得到任何 iverilog 的示例),或者获得指向我在这段代码中犯的错误。在此先感谢您的时间。

谢谢, 维奈

代码:verilog

.sft 文件

flash_dat.c

编译: