0

我正在尝试在iverilog 中使用一个vpi 函数,该函数将在调用它后将一个值返回给verilog 测试台。它编译正常,但在我运行时返回以下内容

Error: $flash_dat() is a system task, it cannot be called as a function.

我已将代码的相关部分放在下面。如果我能获得一个示例,包括使用在 iverilog 中返回一个值的 vpi 函数的编译和运行过程(我确实在 google 中搜索但没有得到任何 iverilog 的示例),或者获得指向我在这段代码中犯的错误。在此先感谢您的时间。

谢谢, 维奈

代码:verilog

always @(flash_adr) #110 flash_do <= $flash_dat(flash_adr);

.sft 文件

$flash_dat vpiSysFuncInt

flash_dat.c

 void flash_dat_register()
 {
  s_vpi_systf_data tf_data;
  tf_data.type      = vpiSysTask;
  tf_data.tfname    = "$flash_dat";
  tf_data.calltf    = flash_dat_calltf;
  tf_data.compiletf = flash_dat_compiletf;
  tf_data.sizetf    = 0;
  tf_data.user_data = 0;
  vpi_register_systf(&tf_data);
}

void (*vlog_startup_routines[])() = {
flash_dat_register,
0
};

static unsigned int flash_dat_calltf()
{
unsigned int addr= tf_getp(1);
tf_putp (0,((flash_array[addr+1]<<16)|flash_array[addr]));
return ((flash_array[addr+1]<<16)|flash_array[addr]);
}

编译:

SOURCES=tb_norflash16.v flash_dat.sft $(wildcard ../rtl/*.v)

all: tb_norflash16 flash_dat.vpi

isim: 
vvp -M. -mflash_dat tb_norflash16

tb_norflash16: $(SOURCES)
iverilog -o tb_norflash16 $(SOURCES)

flash_dat.vpi: flash_dat.c flash_dat.sft
iverilog-vpi $^
4

2 回答 2

1

我认为 Icarus Verilog 不支持这一点。如果您查看vpi 的 ivltest 套件,测试是系统任务而不是功能。

您应该能够通过重写为任务调用来实现您想要的,并从 VPI 驱动输入/输出网络。查看pokereg.ccpokereg.v

于 2012-12-12T12:26:24.313 回答
1

答案就在我眼前我需要改变

tf_data.type      = vpiSysTask;

tf_data.type      = vpiSysFunc;

谢谢您的帮助

于 2012-12-12T15:48:22.347 回答