问题标签 [icarus]

For questions regarding programming in ECMAScript (JavaScript/JS) and its various dialects/implementations (excluding ActionScript). Note JavaScript is NOT the same as Java! Please include all relevant tags on your question; e.g., [node.js], [jquery], [json], [reactjs], [angular], [ember.js], [vue.js], [typescript], [svelte], etc.

0 投票
1 回答
253 浏览

verilog - Icarus Verilog:多位数组解析错误

Icarus Verilog 中正确的多位数组声明是什么?我在这段代码中遇到了解析错误, input [19:0] array [0:9];但是当我尝试时input [20*10-1] array;,没有解析错误,但我的输入/输出中有错误。

0 投票
1 回答
554 浏览

arrays - Verilog:显示多位数组时出错(输出由 X、Z、0 组成)

我在 Verilog 代码中实现 shell 排序。我有一个由 10 个元素组成的数组,每个元素 20 位宽。我无法将测试台内的输入值正确地传递给模块内的寄存器。这是输出:

在此处输入图像描述

这是我的代码:

这是测试台:

为什么程序会产生错误的显示?

0 投票
1 回答
2531 浏览

verilog - $rtoi() 不是一个常数系统函数

我想为计数器设置一个常量的大小:

这适用于 XST (ise) 和验证器,但在 Icarus 中我遇到了这个错误:

我可以使用“整数”类型来解决这个问题:

但这在验证器中给了我一个警告:

你认为有一个好方法可以做到这一点,并与 Icarus、verilator 和 Xst 兼容吗?

0 投票
2 回答
2686 浏览

verilog - Verilog 4 位加减计数器设计使用负边沿触发 T 触发器

我对 Verilog HDL 很陌生,我必须编写这个 4 位向上计数器。在阅读了一些上下计数器和 t 触发器的帮助下,我已经编写了以下代码:

现在,我收到此错误:

第 25 行是这一行:

我不是 100% 确定我的编码是否正确。你能告诉我我的问题在哪里吗?

0 投票
1 回答
116 浏览

compiler-construction - icarus verilos编译器的语法错误文件

有谁知道哪个文件是icarus verilog编译器中具有语法的文件?还有哪一个有语法错误处理和打印?

谢谢大家

0 投票
2 回答
1606 浏览

vhdl - 如何使用 Icarus Verilog 在 Verilog 中转换 VHDL 代码?

我在文档中找不到使用 icarus 将 VHDL 代码转换为 Verilog 的示例。我在这里找到了如何对 VHDL 进行 verilog 。我尝试修改命令以在此代码上进行 VHDL 转换:

但我有一个语法错误。我的 VHDL 代码错了吗?或者是iverilog命令是错误的?

0 投票
1 回答
1379 浏览

sublimetext3 - Sublime Text 3 的 Verilog 构建系统

我正在尝试在 Sublime Text 中为 Verilog 实现一个简单的构建系统,但是在构建时出现以下错误:

我的构建系统应该使用 Icarus Verilog 编译器,其命令是:

当我从 shell 运行该命令时,我没有任何问题,它完全按照我的意图工作。

我的Verilog.sublime-build构建系统使用以下 JSON:

如果有人可以让我了解我在这里可能做错了什么,我将不胜感激。如果有什么不同,我是从 OS X 运行的,并使用 Sublime Text 3。

0 投票
2 回答
4398 浏览

verilog - Verilog 4x16 解码器输出错误数据

我已经使用 Verilog 及其测试实现了一个 4x16 解码器。对于每种情况,解码器都应输出一个 16 位数字,其中只有一个位为高位。运行程序时,我无法获得所有所需的输出。这是解码器和测试的代码,以及控制台的输出:

4x16 解码器:

测试:

当我运行程序时,它会输出正确的输出,直到它到达输入为 1101 的测试用例。之后,解码器输出它应该显示的错误值。这是输出:

0 投票
2 回答
682 浏览

command-line - 使用扫描查看波形

0 投票
1 回答
224 浏览

crash - 编译动态内存模块时 Icarus Verilog 崩溃

这是我在 StackOverflow 上的第一篇文章。

我是 Verilog 新手,但我在 Python、C 和 C++ 方面有丰富的经验。我在 Windows 10 上使用 Icarus Verilog 版本 10.1.1,并且正在尝试编写动态内存分配器。出于某种原因,运行此命令时:

输出以下内容:

我的代码有什么问题,我应该为此提交错误报告吗?

dynmem.v:

dynmem_test.v:

更新: C:\iverilog\lib\verilog-10.1.1 不存在,事实上,我在 C:\iverilog 中搜索了 pform.cc 并没有找到任何结果。奇怪的。