问题标签 [flip-flop]

For questions regarding programming in ECMAScript (JavaScript/JS) and its various dialects/implementations (excluding ActionScript). Note JavaScript is NOT the same as Java! Please include all relevant tags on your question; e.g., [node.js], [jquery], [json], [reactjs], [angular], [ember.js], [vue.js], [typescript], [svelte], etc.

0 投票
1 回答
831 浏览

simulation - 模拟触发器 D ISim 12.3

如何在 ISim 12.3 上模拟此 vhdl 代码?我知道它可以工作,因为我下载到了 FPGA,但我看不到一个好的模拟。

提前感谢,如果它太基本了,我很抱歉,但我对此很陌生。

这就是我的测试台的样子

0 投票
3 回答
592 浏览

perl - Perl:使用触发器功能并从读取的块中提取数据

我有一个名为的数组@mytitles,其中包含很多标题,例如,title1等等title2。我有一个名为“ Superdataset”的文件,其中包含与每个标题有关的信息。但是,相关信息title1可能是 6 行,而信息title2可能是 30 行(随机)。每条信息(对于 a titlex)都以“”开头,以“ Reading titlex”结尾Done reading titlex

从每个标题的这些信息行中,我需要提取一些数据。Done reading titlex我认为幸运的是,我需要的这些数据每次都在“”之前的两行中

所以我的“ Superdataset”看起来像:

我需要总费用和总收入。有什么建议么?PS-数组有复杂的名字,不是那么简单titlex

0 投票
3 回答
489 浏览

perl - 在 Perl 中,我可以直接对使用触发器运算符捕获的数据应用“grep”命令吗?

我需要找到出现在命令输出中的特定单词(C7STH、C7ST2C)的“数量”。该命令以“固定”文本开始和结束 - START & END 如下所示。此命令针对日志文件中的不同节点重复多次。

...

……

我正在使用触发器运算符 (if (/^START$/ .. /^END$/) 来获取每个命令输出。现在

  1. 有没有办法对这些数据进行“grep”而不是逐行进行?就像我可以将 'START' 和 'END' 之间的所有文本放入一个数组并在此等上执行 'grep' 吗?

  2. 从性能的角度来看,使用触发器运算符具有多个级别的 if 块是否“可以”?

0 投票
1 回答
349 浏览

perl - Perl 触发器运算符 - 是否可以将第一场比赛的结束视为下一场比赛的开始?

在触发器运算符上需要更多帮助以下是我的示例数据:

我感兴趣的数据从字符串“LS SPID ASP SPID”开始,到下一个“LS SPID ASP SPID”或END(如果没有下一个LS 行)结束。是否可以使用触发器运算符来获得这个?我将此数据读入数组(@linesread),然后尝试使用以下代码循环遍历数组,但它不起作用。问题是因为我不能在同一条线上循环两次吗?还有其他解决方案吗?

PS:我根据需要使用 ... 运算符。

0 投票
1 回答
5724 浏览

vhdl - VHDL中的D触发器

我正在尝试使用我编写的 D Latch 在 VHDL 中实现 D 触发器。但是时钟似乎有错误,我无法弄清楚那是什么。

这是我的 D Latch 的代码。

我对其进行了测试,它可以工作,这是我的 d 触发器的代码:

这是错误:

谢谢

0 投票
1 回答
387 浏览

perl - Perl 触发器运算符 - 全局状态问题?

我正在使用触发器运算符进行一些文本解析,我的数据如下所示:

现在我需要遍历这些数据并为每个 CELL 找到 COUNTER2 的总和。如我们所见,在每个单元格下可能有 1 个或多个 COUNTER 行。我尝试使用如下所示的触发器运算符,但它不起作用。

我认为这与这个问题中讨论的触发器运算符的全局状态有关,但不能理解太多。请帮我。

提前非常感谢。

0 投票
3 回答
1546 浏览

memory - 触发器、锁存器基本概念

我希望有人可以帮助我。我无法理解触发器的实用性,即保存状态的意义。如果我们想保存之前的状态,我们为什么不简单地保持输入的原样。一般来说,为什么不是这样:输入=输出,例如使用非门。还是因为在给出输出后,可以将输入归零并将它们用于其他目的而仍然保持输出,所以在内存存储中使用了触发器?

0 投票
1 回答
2461 浏览

clock - 在不改变占空比的情况下将时钟除以 3?

我搜索了很多,但我没有找到一个好的解决方案。大多数答案仅在占空比为 50% 时才有效,但我正在寻找一种适用于占空比为 40% 等时钟的解决方案。

0 投票
2 回答
124 浏览

language-agnostic - 生成 8 个邻域坐标的最简洁方法

我正在寻找一种方法来生成以下数字序列(这是一个像素的 8 个邻居的相对坐标,从西北像素开始,以西结束)。第一个数字是 y 坐标,第二个数字是 x 坐标:

我可以想出几种丑陋的方法来实现这一点,例如将坐标放入数组中,但我想知道是否有一种我没有想到的干净有效的方法。

编辑:由于我试图实现的算法的设计方式,像素必须按特定顺序(NW 到 W)迭代。

0 投票
1 回答
967 浏览

vhdl - 不需要的 1 位锁存器 (VHDL)

我正在编写一个 N 位非恢复分频器,但我遇到了一个小问题。

我有一个操作部分(组合)和一个控制部分(有限状态机)。控制部分有 2 个进程 FSM,1 个用于更新下一个状态,1 个用于“状态序列”。

这是第二个过程:

如您所见,我只需要在 2 种情况下(减法和测试)更改 sub 的值,而在其他情况下我不必更改。

问题是当我尝试合成这段代码时,结果发现 sub_tmp 是一个锁存器,但我不想要一个锁存器。我需要做这样的事情:

状态 1 => 将 sub 设置为“1”或“0”(取决于另一个输入)

状态 2 => 执行其他操作(但 sub 必须保持之前设置的值)并返回状态 1 等...

为了澄清更多:在我的 FSM 的某些状态(不是全部)中,我设置了一个变量的值(我们称之为 sub_tmp)。在其他州,我不会改变它的价值。然后假设我有一个名为“sub_out”的输出 PIN。现在,独立于变量值,我想将其值输出到此引脚(sub_out <= sub_tmp; 或类似)。

我错过了什么?