问题标签 [state-diagram]

For questions regarding programming in ECMAScript (JavaScript/JS) and its various dialects/implementations (excluding ActionScript). Note JavaScript is NOT the same as Java! Please include all relevant tags on your question; e.g., [node.js], [jquery], [json], [reactjs], [angular], [ember.js], [vue.js], [typescript], [svelte], etc.

0 投票
1 回答
644 浏览

c# - C# - 编写嵌套状态流程图

我有以下状态图。我知道如何制作一个在非嵌套状态之间转换的简单状态机;但是,我不知道如何在嵌套状态之间转换。有人能解释一下如何在适当的高层次上做到这一点(即,你不需要为我编写代码——除非你感觉特别慷慨:P)。

我要建模的内容 [根据建议修改]

状态图:我正在尝试实现的内容

我知道如何实现(下面的代码)

状态图:我知道如何实现

编辑:我认为问题的症结在于当转换取决于原始超状态中的当前子状态时,如何说明/实现从给定超状态的子状态到不同超状态的子状态的转换。使用我的示例,如果当前状态是超状态“中性”内的“P”,我如何说明/实现我的状态机以显示事件 Y+ 将完全从中性超状态过渡到“非中性”超状态,并具体进入“P”子状态

0 投票
2 回答
10899 浏览

uml - 状态图、状态机图和状态转移图的区别

状态图状态机图状态转换图有什么区别?虽然在几个地方我了解到状态图和状态机图的含义相同,但在某些地方显示为不同。我只能确定状态图表示系统在某个时刻所处的状态而状态机图则显示对象及其在其整个生命周期中之间的转换。

0 投票
2 回答
490 浏览

behavior - How State machine diagram can be represented as a Behavior for an operation in UML?

Behaviors (Method Body)can be state machines or activities - activities are easy to understand, as they are the equivalent of procedural code.

I don't understand how a state machine can be used as the behavior for an operation?

Could you Please provide a simple example for that?

---Note---

Operation is a specification-only element - imagine it as the method signature in OO programming languages. It has a name and a list of parameters.

Behavior is (among other things) what an operation (or another behavioral feature such as a reception) does when invoked - imagine it as the body of the method.

0 投票
1 回答
371 浏览

uml - 状态机如何描述用例的动态行为

当我阅读 UML 参考手册第 7 章,状态机视图,第 81 页时:

the state machine describes the dynamic behaviour of use cases

你能通过简单的例子来说明上面引用的句子吗?

0 投票
1 回答
116 浏览

object - 编码阶段状态机图的对应表示是什么(用编程语言编写)?

状态机图代表了对象的生命周期,那么它在编程层面对应的是什么(状态机作为对象的本地视图)?

0 投票
1 回答
390 浏览

fsm - JountJS - 通过 PHP 动态创建 FSM

我正在使用JointJS创建状态图。我正在通过 PHP 动态创建 FSM。有没有办法在不指定顶点的情况下自动将状态彼此分开。此外,链接应该是弯曲的,没有特异性 xn y。

我正在使用 PHP、bootstrap n jQuery 开发“预订表分析器算法”。我需要这个算法输出的状态图。我已经做了一切。它工作正常,但状态分散 n 看起来不太好。甚至链接都显示不正确。

我需要一些方法来自动排列这些状态而不指定顶点(x,y)。因为在算法迭代中处理它们的 x 和 y 是很困难的。请在此处查看演示。http://www.figmentsol.com/rtanalyzer/ 使用以下数据进行测试时间片:4 添加3个资源 Resource1 : 1001 Resource2 : 0100 Resource3 : 0010 点击开始查看结果!

请帮忙!

0 投票
0 回答
35 浏览

uml - 参数化状态图(M1 级别)与实例化状态图(M0 级别)

parameterized statecharts(M1级)和instantiated ones(M0级)有什么区别?

0 投票
2 回答
298 浏览

vhdl - 为什么我的 VHDL 状态机不执行减法?

这是一个长除法二进制除法器的模拟。该程序按预期执行,但无论我如何编码,它都不会从寄存器的 5 个 MSB 中减去除数。

以下是代码:

0 投票
1 回答
1077 浏览

uml - UML 行为状态图:正交状态的入口和出口点所有权含义

在 UML(让我们采用规范 2.4.1)中,当考虑正交复合状态时,入口点和出口点属于(封闭)复合状态或入口/出口点所在的区域。那么它是什么?

我无法在规范中明确找到这一点,但这就是规范中关于主题的内容(取自上层结构规范的第 15.3.8 段):“入口点伪状态是状态机或复合状态的入口点。在状态机的每个区域或复合状态最多只有一个转换到同一区域内的顶点。”

由此我推断入口点属于它们所应用的区域。如果是这样,我如何为封闭状态建模进入/退出动作?我是否必须在我使用的每个入口点上重复“全州范围的入口操作”?在使用许多区域时,这似乎很麻烦且多余。或者我是否必须创建一个“更大”的状态,它有自己的进入/退出点(或“进入/...”和“退出/...”行),它又包含包含区域的复合状态? 这似乎相当复杂。有人可以通过视觉示例为我澄清这一点吗?

0 投票
0 回答
121 浏览

vhdl - 状态图输入到 vhdl

描述:
我有一个状态图,我想将它输入到 aldec active hdl 中,然后使用程序为模型的行为生成并发或顺序语句。我知道有一种方法可以在 vhdl 中绘制逻辑并让程序为您生成代码。

问题:
是否可以对状态图做同样的事情?如果有,方法是什么?

如果可以,请提供详细说明。谢谢