0

描述:
我有一个状态图,我想将它输入到 aldec active hdl 中,然后使用程序为模型的行为生成并发或顺序语句。我知道有一种方法可以在 vhdl 中绘制逻辑并让程序为您生成代码。

问题:
是否可以对状态图做同样的事情?如果有,方法是什么?

如果可以,请提供详细说明。谢谢

4

0 回答 0