问题标签 [state-diagram]

For questions regarding programming in ECMAScript (JavaScript/JS) and its various dialects/implementations (excluding ActionScript). Note JavaScript is NOT the same as Java! Please include all relevant tags on your question; e.g., [node.js], [jquery], [json], [reactjs], [angular], [ember.js], [vue.js], [typescript], [svelte], etc.

0 投票
4 回答
1873 浏览

uml - Drawing UML state diagrams

When drawing state diagrams, how do you know which states get put in boxes and which states are for the transition arrows? I noticed that transitions are states too.

I'm looking at figure 1 on this page:

enter image description here

0 投票
2 回答
2529 浏览

boolean-logic - 摩尔机的状态图和转换表

我为这个电路画了一个有两种状态的mealey机器,但是我不能画一个摩尔机器状态图,我不明白怎么做。

电路如下:

该电路是具有一个二进制输入 X 和一个二进制输出 Y 的摩尔机器。输出 Y 取决于在最近的两个时钟脉冲处采样的两个 X 值。Y 应该始终是这两个输入值的 XOR 组合的结果。

因此,基本上,如果状态为 1,输入为 1,则变为 0。如果为 0,则变为 1,则变为 1。只要与状态相反,它就会变为 1 .

这在状态图上是如何表示的?转换表呢?

0 投票
1 回答
490 浏览

concurrency - UML 中具有并发子状态的“声明性”复合状态

给定一个包含两个组件 A 和 B 的系统,并且

系统同时启动 A 和 B。现在 A 可以通过状态 {A.Starting, A.Ready},B 可以处于状态 {B.Starting, B.DoingX, B.DoingY}。(相应地命名 A 和 B 状态之间转换的事件:B.doingx => B 转到 B.DoingX 等...)

来自 yuml.me 的 A 和 B 状态机

我想建模

  • 当 A 在 A.Starting 中,或 B 在 B.Starting 中时,系统正在“启动”
  • 当 A 处于 A.Ready 且 B 处于 B.DoingX 时,系统处于“DoingX”状态
  • 当 A 处于 A.Ready 且 B 处于 B.DoingY 时,系统处于“DoingY”状态

来自 yuml.me 的系统状态机

如果我没记错的话,这里可以使用 fork/join 伪状态。

但是这些模型元素是否具有上述组合状态的声明性语义?有没有另一种方法来模拟这个?

(注:图表来自http://yuml.me

0 投票
2 回答
2012 浏览

wolfram-mathematica - 如何使用 Mathematica 绘制经典状态图?

Mathematica 是否可以画出这样的东西(由 Graphviz 创建):

在此处输入图像描述

这是我能得到的最好的(但形状和风格并不令人满意):

在此处输入图像描述

代码:

0 投票
2 回答
501 浏览

android - 安卓媒体播放器

我正在尝试使用 MediaPlayer 对象播放声音,但尽管我尽了最大努力,但我似乎无法让它工作。声音只是拒绝播放。

这是一个简短的声音,应该在触摸屏幕时播放,这意味着它必须重复很多次,没有太多延迟。知道了这一点,我遵循了状态图http://developer.android.com/reference/android/media/MediaPlayer.html。我似乎看不出我的方法调用顺序到底出了什么问题。

0 投票
2 回答
2026 浏览

regex - 词法分析的状态/转换图 - *(星号)收回前向指针有什么意义?

我正在阅读编译器:原理、技术和工具,但不明白这一点:

此外,如果需要将前向指针缩回一个位置(即,词位不包括使我们进入接受状态的符号),那么我们应该在接受状态附近额外放置一个*。在我们的示例中,没有必要向前缩回一个以上的位置,但如果是这样,我们可以将任意数量的 * 附加到接受状态。

这一切都说得通,但我不明白星号的意义。这本书只是在正则表达式中使用它(Kleene 星/闭包),它是用来表示别的东西,还是仍然是 Kleene 星?

0 投票
3 回答
1078 浏览

state-diagram - 用于打印 SDL/PR 图的工具

可悲的是,UML 实际上已经扼杀了SDL(规范和描述语言,ITU Z.100),并且在今天很难找到支持 SDL 的有用工具。

我想打印状态图,我记得几年前我使用过一个免费工具。这是很久以前某家公司(如果我没记错的话来自巴西)开发的 Windows 二进制文件,但由于他们不再对它有任何商业兴趣,他们提供免费下载(只是二进制文件,没有源代码) . 它至少可以打印我正在寻找的 SDL/PR 文件。

我试图搜索它,但找不到它。有没有人有这个工具的链接(或名称)?

0 投票
1 回答
2721 浏览

xml - 从 xml 文件创建状态图

我正在寻找一个免费工具,能够从我的简单 xml 中创建带有节点和边的状态图。有什么有效的工具。

我只知道这个http://www.cytoscape.org/

而是看看是否有人对任何其他工具有任何流畅的体验?

0 投票
2 回答
932 浏览

syntax-error - 我的 VHDL 代码出错,但我似乎无法弄清楚原因

我为显示的状态图编写了一个 VHDL 代码(哎呀,因为我是新用户,所以无法发布图像)。但是,当我编译它时,它说有错误:在第 16 行:process(clk) -- 解析第 21 行时检测到语法错误:else -- 解析第 23 行时检测到语法错误:end if ; -- 解析时检测到语法错误。

这是我的代码:

语法完全正确,我不明白为什么这是一个错误。有什么问题?

另外,我想在 ready =0、burst =0 和 ready = 0 和 burst = 1 的情况下使用断言语句,但我不太确定如何在主代码中实现它们。

我已经突出显示了第 16、21 和 23 行。

任何帮助都会很棒。

0 投票
1 回答
357 浏览

uml - UML - 在并发状态图中显示依赖关系

我正在建模一个用户界面,其中有一个 3 x 3 网格。网格中的每个正方形都是一个状态 [ edit: ],除了 (left, top) 和 (middle, top) 正方形,它们一起是单个状态 [ /edit ],初始状态是中心(鼠标光标“开始”)。当鼠标光标越过边界进入新状态时,将触发该状态的事件。

我正在考虑单独对轴进行建模,因此会有一个 X 状态和一个 Y 状态;但是,如何将 X 状态和 Y 状态映射回网格的 9 个方形状态之一(即在状态图中)?换句话说,我如何证明实际的最终状态取决于 X 状态和 Y 状态(是它们的组合)?我有更好的方法吗?

请指教。