问题标签 [netlist]

For questions regarding programming in ECMAScript (JavaScript/JS) and its various dialects/implementations (excluding ActionScript). Note JavaScript is NOT the same as Java! Please include all relevant tags on your question; e.g., [node.js], [jquery], [json], [reactjs], [angular], [ember.js], [vue.js], [typescript], [svelte], etc.

0 投票
3 回答
316 浏览

verilog - 网表中是否可以有两个实例具有相同的名称?

网表中是否可以有两个触发器/任何其他实例具有相同的名称?

考虑到没有层次结构,假设我有一个 10M 实例的设计并且存在一个名为 foo 的翻牌,是否有可能另一个翻牌具有相同的名称“foo”?

0 投票
2 回答
84 浏览

c++ - 如何将txt文件中的一行分隔到组件C++

我正在尝试读取网表(或文本)文件并将其分成单词。到目前为止,我已经尝试了下面的代码,但我无法摆脱错误。有任何想法吗?

我要阅读的文字是这样的:

0 投票
2 回答
328 浏览

verilog - 如何编辑和测试 Verilog 网表

我使用设计编译器为简单的串行加法器生成网表。

我想在设计中添加水印,这需要我在设计中添加一些门和触发器。

编辑后如何测试代码(我不确定如何编译门级代码,通常使用 ModelSim)以确保它工作,以及如何编译新的网表。

PS我是一个菜鸟,对不起,任何指向基本教程和东西的链接都可以提供帮助,谢谢:)

0 投票
0 回答
98 浏览

c++ - 从 Yosys 导入组合逻辑有向图到 boost 库

我想将在 Yosys 中创建的大型组合逻辑电路导入到我自己的带有 boost 图形库的 c++ 例程中的有向图中,这样我就可以用自己的算法进行试验。该逻辑具有大约 10M 的门,因此目标是具有高性能的代码实现。

使用 yosys Berkeley abc 和 -g AND,OR,XOR 合成电路通常需要几个小时的时间。导出为verilog文件也需要一些时间,而且文件很大(数百兆)

我最初的计划是简单地读取verilog文件并通过解析文件字符串生成有向图。这很慢,需要大量编码。

有没有更简单的方法?我正计划使用 boost 图形库,只是为了在算法中快速轻松地遍历图形。

任何人都有任何示例代码或关于有效图遍历的替代方案的想法?..或将大网表快速导入图形结构?

0 投票
2 回答
55 浏览

python - Python - 删除网表文件中的最后一个字符

我正在从 csv 文件生成一个网表文件,如下所示,我试图在生成它后抑制该文件文本的最后一个字符“,”,但我不能

预期结果:

我生成网表文件的功能:

0 投票
0 回答
11 浏览

switch-statement - 是否可以在模拟开始之前在 Xyce 中打印扁平的 SPICE 卡组?

我想在模拟开始之前打断 Xyce 并打印一个扁平的香料甲板,我计划在上面运行一个统计数据收集程序。这可能吗?我知道我可以挖掘资源来弄清楚。我想知道的是Xyce 是否有一个命令行开关可以打印,即以ASCII 输出,一个spice 网表,然后退出。

0 投票
0 回答
29 浏览

netlist - 关于史密斯图软件“Smith v4.1” Touchstone 网表格式

我问作者“哪个模拟器可以用来模拟Smith v4.1网表文件?..如果可能的话,免费的很好。”。

回答“任何使用 Touchstone 网表格式的模拟器,例如 AWR 的“Microwave Office”、ADS 和 Keysight 的“Genesys”。

关于“试金石网表格式”,有没有人知道另一个模拟器或转换器(如果可能,免费)?由于 Smith v4.1 中的网表是电路(网表),而不是 s 参数,

用 qucsstudio 试试,

会说。

请告诉我更多关于“试金石网表格式”的信息。

0 投票
1 回答
47 浏览

verilog - 在 Yosys 中没有实例名称的 write_verilog

我正在尝试使用 write_verilog 命令生成不包含任何单元名称的嵌套列表;相反,只有verilog表达式。我尝试以下方法:

但是我仍然在我生成的网表中得到了单元实例(名称),这是我想要的。

为了更好地澄清,例如,在生成的 synth_001.v 中,如果我有

我实际上想要以下内容:

我已经阅读了 Yosys 手册,甚至尝试了谷歌提供的选项,比如

但还没有运气。

任何提示/想法将不胜感激。

谢谢!