0

我想将在 Yosys 中创建的大型组合逻辑电路导入到我自己的带有 boost 图形库的 c++ 例程中的有向图中,这样我就可以用自己的算法进行试验。该逻辑具有大约 10M 的门,因此目标是具有高性能的代码实现。

使用 yosys Berkeley abc 和 -g AND,OR,XOR 合成电路通常需要几个小时的时间。导出为verilog文件也需要一些时间,而且文件很大(数百兆)

我最初的计划是简单地读取verilog文件并通过解析文件字符串生成有向图。这很慢,需要大量编码。

有没有更简单的方法?我正计划使用 boost 图形库,只是为了在算法中快速轻松地遍历图形。

任何人都有任何示例代码或关于有效图遍历的替代方案的想法?..或将大网表快速导入图形结构?

4

0 回答 0