问题标签 [gtkwave]
For questions regarding programming in ECMAScript (JavaScript/JS) and its various dialects/implementations (excluding ActionScript). Note JavaScript is NOT the same as Java! Please include all relevant tags on your question; e.g., [node.js], [jquery], [json], [reactjs], [angular], [ember.js], [vue.js], [typescript], [svelte], etc.
linux - 如何在 VCD 文件中指定注释?
在一个VCD
文件中,我想指定某些事件发生在特定时间。为此,我尝试定义一个值几乎是 time 的单个位信号0
,并将该值切换到同一时间0
,然后再切换回1
同一时间(在我的事件发生时)。不幸的是,使用 . 查看文件时没有显示任何内容gtkwave
。我怎样才能实现这种行为?
vhdl - 信号下降到未定义,而所有相关信号都已定义
我正在编写一个必须查找每个传入位的过程,跟踪接收到的总数量是否为 1,并且到时候必须将值与参考值进行比较。过程如下:
在这里我遇到了一个问题:定义了过程敏感度列表中定义的所有信号,但是根据 GHDL(模拟器),只要 parity_test 变为 true,值就会变为 undefined: 我做错了什么?
我删除了这里的内容,因为当我换成笔记本电脑时,错误发生了变化:它与机箱开关有关。我仍然不明白为什么。parity_bit_in_type 是具有范围(0 到 3)的通用 Natural。如果我取出我需要的语句(在这种情况下为 0)并删除 case thingy,一切都会按预期工作。WebPack ISE 似乎没有抱怨它,所以它开始感觉像是 GHDL 中的一个错误。
GHDL 版本控制:
显示相同行为的最小示例
vhdl - 测试台中的输入分配和输出值(ghdl 和 gtkwave)
我直接说具体的。
我正在使用 Ubuntu 14.04LTS、GHDL 编译器和 GTKWave 进行仿真。
我有两个文件用于模拟简单的 2 多路复用器:mux2.vhd 和 mux2_testbench.vhd
这是 mux2.vhd 的代码
测试台代码
我正在做的事情:
我通过终端编译没有错误: ghdl -a mux2.vhd和ghdl -a mux2_testbench.vhd
然后,我为测试平台创建可执行文件: ghdl -e mux2_testbench
最后,我创建了我需要使用 gtkwave 的 vcd 文件: ghdl -r mux2_testbench --vcd=test.vcd &
模拟: gtkwave test.vcd
这段代码有两个问题: 1. 即使我在信号 e0 和 e1 中写入不同的值,e1 在模拟中也没有显示任何内容。它始终为“0”。
- 输出信号在模拟中显示值“U”,我什至不确定这意味着什么,也无法在 Google 中找到任何明确的信息。
提前谢谢大家,伙计们。
c++ - 如何检查 sc_buffer 和 sc_signal 之间的区别?
我想检查使用sc_buffer
和之间的区别sc_signal
。我编写了一个添加两个随机数的模块,然后并行运行两个测试:一个 using sc_buffer
,另一个 using sc_signal
。然而,当我检查时,gtkwave
我看到两个示例的痕迹相同,所以我认为对于这种情况应该没有任何区别。如何检查差异?还是这两种不同类型的通道适用于不同的应用?
vhdl - 从命令行重新加载 gtkwave 中的 VCD 文件
我正在使用由 iverilog 和 gtkwave 生成的 VCD 文件。GUI 中有一个按钮,但我想从命令行重新加载波形窗口。我该怎么做呢?
php - 如何在网页上显示 Value Change Dump(VCD) 文件?我想在我的网页上可视化一个 VCD 文件
在我的情况下,在服务器上生成一个 vcd 文件,我想在网页上的 gtkwave 软件中可视化该文件,以便客户端可以在 gtkwave 中看到该文件。
我不是专业的网络开发人员,如果有人知道该怎么做,请提供帮助。
提前致谢 ..!!
chisel - 如何更改 chisel3 iotester 生成的 vcd 中的时间刻度
对于 C++ 后端,我已经问过chisel2 的类似问题。但是现在我正在使用带有 iotester(peek and poke)和 chisel3 的模板示例。
使用以下代码(可以在我的 github 项目页面上找到):
如果我使用 sbt 以下命令运行测试台:
它正在启动测试平台并生成一个 VCD 文件,可以在以下目录中使用 gtkwave 看到该文件:
但是这个 vcd 文件中的时间刻度是:
更改此时间刻度的正确方法是什么(打开 vcd 文件直接更改它除外)?
tcl - 与 tcl 匹配的字符串模式
我是 tcl 的新手,我试图只捕获以下用户指定的层次结构深度:
我只想捕获层次结构中的最终元素,该层次结构不会继续以“。”分隔的更多元素。即我想将所有实例附加到列表中(最终元素名称可以是任何东西)。
如果用户想要选择第二层级,则比较应该只允许来自上面的这些元素:
如果用户指定第三层级,那么我想获取这些元素:
第四层级:
依此类推...除了字符串比较之外,我已经编写了所有代码,但是我尝试过的所有内容似乎都没有达到我想要的效果。