问题标签 [ghdl]
For questions regarding programming in ECMAScript (JavaScript/JS) and its various dialects/implementations (excluding ActionScript). Note JavaScript is NOT the same as Java! Please include all relevant tags on your question; e.g., [node.js], [jquery], [json], [reactjs], [angular], [ember.js], [vue.js], [typescript], [svelte], etc.
vhdl - GHDL 和 VHDL - 可执行文件的输入
在 Windows 中,我知道命令“ghdl -e something”不会创建任何可执行文件;在linux中它被创建。我必须为我的可执行文件提供一个输入文件。如果我在 linux 上,我可以通过 ./something < input.inp 来做到这一点,但是我如何在 Windows 中做到这一点?导致没有创建可执行文件。
vhdl - 无法使用 ghdl 执行/运行任何 vhdl 代码
我已经在 Ubuntu 10.10 中安装了 ghdl(使用存储库中的 apt-get)并使用了 ghdl 手册中提供的 hello_world 示例。我可以成功分析(-a)和详细说明(-e),但是当我尝试运行/执行(-r)它时,我收到以下错误:
/usr/lib/ghdl/bin/ghdl:编译错误
为了详细说明我的问题,我在最后提到了 vhdl 代码以及我在下面遵循的命令序列:
$ ghdl -a hello.vhdl
$ ghdl -e hello_world
$ ./hello_world
bash: ./hello_world: 权限被拒绝
$ ghdl -r hello_world
/usr/lib/ghdl/bin/ghdl: 编译错误
我也尝试过以 root 身份工作,但没有成功。权限是完美的,执行位已设置。一切似乎都很好,但仍然无法正常工作。我什至尝试过重新安装 ghdl 包。
有人可以告诉我问题的根源是什么吗?
提前致谢。
hello.vhdl(Vhdl 代码)
——你好世界节目。
使用 std.textio.all;-- 导入标准的 textio 包。
-- 定义一个设计实体,没有任何端口。
实体 hello_world 是
end hello_world;
hello_world 的体系结构行为是
开始
过程
变量 l : line;
开始
写 (l, String'("Hello world!"));
写线(输出,l);
等待;
结束进程;
结束行为;
vhdl - 简单的 VHDL 4 对 1 MUX 测试台挂起
您好,这是我用 VHDL 编写的第一个代码。这是一个简单的 4 比 1 多路复用器,可以接收任意宽度的向量。但是,当我尝试运行我的测试平台时,GHDL 只是挂起。我看过与我类似的测试台,但我仍然找不到我的挂起的原因。有任何想法吗?
vhdl - ghdl 详细说明包中的实体
我有一个 VHDL 程序,我不能用 GHDL 详细说明它,因为要详细说明的实体在一个包中。如何使用 GHDL 详细说明包中的实体?
编辑:
感谢您的回答,一段时间后我发现包中的代码类似于接口,我们应该自己实现这个组件,我错误地认为它是完整的。抱歉问错了问题,我是 VHDL 新手,正在学习技巧,但由于我的假设是错误的,所以在谷歌上找不到任何解释。
compilation - 如何在 ghdl 下编译 .vhd?
我的第一个问题: 我想知道您如何在 ghdl 下编译您的 vhdl 文件?
在 c/c++ 中,我们使用
- -错误
- -Wunused-变量
- -Wunused-value
- -Wunused-function
- -Wfloat-equal -Wall
.我的第二个问题:有没有一种方法可以将 ghdl 与这些东西一起使用?
vhdl - 具有 Mux 8:3 的 VHDL 全加器
我尝试使用 Mux8:3 创建一个全加器……但它没有运行!当我运行它时,我没有从命令行收到任何错误,但是 ghdl 没有启动!有人可以阅读这段代码并告诉我我能做什么吗?
现在我的make.bat
vhdl - 这是 Ghdl/gtkwave 错误吗?
我在 Windows 7 上使用 ghdl+gtkwave 进行学习。我通过在 .bat 文件中调用一些 shell 来运行模拟,通常当我遇到一些 sintax 错误时,我会在 dos 窗口中看到消息,但在某些情况下我没有'不明白,没有错误,但 gtkwave 没有运行。
这是一个错误吗?
vhdl - 带 D 触发器的结构 4 位环形计数器。VHDL/GHDL
我不知道如何用结构化编程来做到这一点......
“由 4 个 D 触发器组成的 4 位二进制计数器(带有复位信号)。”
如何连接输入/输出?
这是实体声明。问题的核心在最后几行。
我的问题出在最后几行。
signals - Modelsim 和 GHDL 无法将 vhdl 用户定义的信号类型转储到 vcd 中?
我正在尝试从由 modelsim 或 ghdl 执行的模拟中转储内部信号。一切正常使用:
对于 modelsim,添加 vhdl 源,然后全部编译:
对于 GHDL
我可以看到模拟信号,但不是全部。信号定义为
从 vcd 中省略。这种行为在 modelsim 和 ghdl 中很常见。
我可以在 ghdl-generated vcd 看到以下行
Modelsim 只是悄悄地忽略了这些信号
有解决方法吗?选择?
gtk - 如何在 Windows 7 上编译 GTK 波形查看器?
为了在 Windows 7 上安装和编译 GTK wave viewer 3.3.35,我从http://www.cygwin.com/下载了 Cygwin并安装了它。我还安装了 MinGW 并将其 make 应用程序与 Cygwin 链接,以便在 Windows 上编译软件,如 GTK wave viewer 3.3.35 指南中所述。
我可以通过 Cygwin配置GTK 波形查看器,但下一步是制作,这在我的情况下没有发生。它说“缺少分隔符,停止”我真的不知道如何摆脱这个错误。请帮忙..
为了您的信息,我需要 Gtk 波形查看器来运行 GHW 格式文件(在 GHDL 上编译和运行 VHDL 文件后生成)以观察 VHDL 代码的 Vhdl 模拟波形。