1

在一个VCD文件中,我想指定某些事件发生在特定时间。为此,我尝试定义一个值几乎是 time 的单个位信号0,并将该值切换到同一时间0,然后再切换回1同一时间(在我的事件发生时)。不幸的是,使用 . 查看文件时没有显示任何内容gtkwave。我怎样才能实现这种行为?

4

1 回答 1

2

使用 event 作为你的变量数据类型并使用 -> 来强制一个事件。它将在 gtkwave 中显示为零时间的脉冲箭头。不需要时间尺度的技巧。

于 2015-10-13T21:10:14.467 回答