1

我有一个简单的 verilog 程序,它增加一个 32 位计数器,使用 $sformat 将数字转换为 ASCII 字符串,然后使用 FTDI FT245RL 一次将字符串推送到主机 1 个字节。

不幸的是,赛灵思 XST 一直在优化字符串寄存器向量。我尝试过各种初始化和访问例程,但没有成功。我似乎无法关闭优化,而且我在网上找到的所有示例都与我的初始化例程差别不大。我究竟做错了什么?

module counter(CK12, TXE_, WR, RD_, LED, USBD);

   input CK12;
   input TXE_;
   output WR;
   output RD_;
   output [7:0] LED;
   inout [7:0] USBD;

   reg [31:0] count = 0;

   reg [7:0] k;
   reg wrf  = 0;
   reg rd   = 1;
   reg [7:0] lbyte = 8'b00000000;   

   reg td              = 1;
   parameter MEM_SIZE  = 88;
   parameter STR_SIZE  = 11;
   reg [MEM_SIZE - 1:0] str;
   reg [7:0] strpos = 8'b00000000;

   initial
     begin
        for (k = 0; k < MEM_SIZE; k = k + 1)
          begin
             str[k]    = 0;
          end
     end

   always @(posedge CK12)
     begin
        if (TXE_ == 0 && wrf == 1)
          begin
             count    = count + 1;
             wrf         = 0;
          end


        else if (wrf == 0)  // If we've already lowered the strobe, latch the data
          begin
             if(td)
               begin
                  $sformat(str, "%0000000000d\n", count);
                  strpos = 0;
                  td     = 0;
               end

             str      = str << 8;
             wrf         = 1;
             strpos      = strpos + 1;       

             if(strpos == STR_SIZE)
               td        = 1;

          end       
     end

   assign RD_             = rd;
   assign WR              = wrf;
   assign USBD            = str[87:80];
   assign LED             = count[31:24];

endmodule 

从环境 /opt/Xilinx/10.1/ISE 中的文件“3s100e.nph”加载应用程序 Rf_Device 的设备。WARNING:Xst:1293 - FF/Latch str_0 在 block 中有一个常数值 0。此 FF/Latch 将在优化过程中被修整。

WARNING:Xst:1896 - 由于其他 FF/Latch 修整,FF/Latch str_1 在 block 中具有恒定值 0。此 FF/Latch 将在优化过程中被修整。

WARNING:Xst:1896 - 由于其他 FF/Latch 修整,FF/Latch str_2 在 block 中具有恒定值 0。此 FF/Latch 将在优化过程中被修整。

4

1 回答 1

6

$sformat 任务不太可能是可综合的——考虑编译器需要生产什么硬件来实现这个功能!这意味着您的“str”寄存器永远不会更新,因此编译器认为它可以优化它。考虑一个 BCD 计数器,也许还有一个将 BCD 代码转换为 ASCII 代码的查找表。

AFAIK 'initial' blocks are not synthesisable. To initialize flops, use a reset signal. Memories need a 'for' loop like you have, but which triggers only after reset.

于 2009-04-18T17:56:56.587 回答