问题标签 [vga]

For questions regarding programming in ECMAScript (JavaScript/JS) and its various dialects/implementations (excluding ActionScript). Note JavaScript is NOT the same as Java! Please include all relevant tags on your question; e.g., [node.js], [jquery], [json], [reactjs], [angular], [ember.js], [vue.js], [typescript], [svelte], etc.

0 投票
2 回答
999 浏览

actionscript-3 - 在 GPU、flash/air 中分配内存

这更像是技术问题的“实施”。

在过去,当我使用 C 语言时,您可以指定使用 VGA 内存或 RAM 内存来分配位图结构,然后您可以更快地使用它们。

现在我们在 2013 年,我在 AS3 中创建位图,并在 ram 中分配它(我没有看到使用 GPU 的选项,并且 100% 的情况下我确定它正在使用 RAM,因为它完全增加了预期的位图大小.

¿ 有没有使用 GPU 内存的选项?

谢谢

0 投票
2 回答
1800 浏览

assembly - MS-DOS - 是否可以对 24 位图形进行编程?

是否可以在 DOS 机器上以 24 位的颜色深度进行编程?我知道 VGA 支持 8 位颜色深度,但有没有办法解决 24 位?对谷歌的研究一无所获。如果这会影响答案,我正在使用 FreeDOS 而不是 MS-DOS 进行编程。

0 投票
1 回答
299 浏览

c - 使用按位运算符从单个字节形成多字节值

VGA 监视器编程涉及将 16 位值写入某些内存位置,以便在屏幕上打印字符。这就是这个 16 位值中的不同位如何转换为屏幕上打印的字符的方式:

在此处输入图像描述

我使用枚举来表示不同的背景/前景色:

我编写了这个函数来创建这个基于三件事的 16 位值,角色用户想要打印,他想要的前景色和背景色:

假设:在我的平台上,int 32 位,unsigned short 16 位,char 8 位

:代码是否正确,是创建这样一个值的编写方式吗?有没有一些标准的方法来做这种操作?

:我的方法会独立于平台吗?对代码还有其他评论吗?

0 投票
2 回答
9322 浏览

vhdl - 在 DE1 上使用 VHDL 的 VGA 文本显示

我在 Altera DE1 上使用 VHDL 做算法动画。在这个项目中,我必须显示文本以使其更具信息性。我是 FPGA 新手。但是,我了解了文本显示的工作原理(所有关于为每个字符分配内存然后显示它)。我试图搜索一些程序来测试并查看文本显示的实际工作方式。但他们中的大多数都在不同的板上。我想不明白实际的流程是怎样的。例如,仅了解内存及其工作原理并不能帮助我编写完整的代码。谁能指出我正确的方向或深入解释它是如何工作的?

提前致谢!

0 投票
3 回答
603 浏览

vhdl - 刷新时VGA VHDL屏幕移动

我正在尝试使用此 VHDL 在屏幕上制作网格。我现在可以画两条线,但是当我刷新屏幕时,线会移动。我不确定错误在哪里,有人可以帮助或提供任何指示吗?

0 投票
2 回答
6589 浏览

verilog - 如何在 Verilog 中填充 FPGA 生成的圆圈以进行合成和 VGA 输出?

我想在 640x480 VGA 显示器上输出一个半径为 100 像素的移动红色圆圈。我被困在如何制作和填写实际的圆圈上。现在我已经看了一下大脑麻木的 Bresenham 算法,但我无法让它们适合我的 verilog 代码。

我已经理论化了我能做什么,但我不完全确定它是可能的。我知道圆的方程是 (xa) (xa)+(yb) (yb)=r*r 其中 (a,b) 是原点。所以我想用RGB颜色填充这个圆圈并将它从上到下移动,即从b = 0到480 at a = 640/2

由于VGA从左到右输出像素,向下迭代,我猜我必须使用嵌套循环。我知道垂直运动的第一个循环从 0 到 480。这是我遇到问题的第二个循环。它从 0 变为 640,但我希望像素在到达 (x,y) (沿圆圈的一个点)时改变颜色,保留该颜色,然后在它们通过 (x+k) 时变回来(其中 k 是水平和弦)。

基本上,如果下面是我圈子的水平和弦:

_黑色.(x,y)___红色(k 像素) _ .(x+k,y) _黑色

我的问题是,我如何用我已经拥有的变量来表示我的 if 条件中的 k?我意识到这可能不是一个 Verilog 问题,但可能是我在基本三角学上失败了,但我的思想真的被困在这上面了。而且,如果我在尝试制作这个圈子时非常偏离(代码方面、逻辑方面、综合方面),请告诉我。任何帮助将不胜感激。

0 投票
1 回答
855 浏览

vga - Intel 8086 上闪烁的字符

我正在使用显卡直接绘制一些形状而不会中断 Intel 8086 (TASM),并且我读到负责背景和前景颜色的字节中的第 7 位可以使字符闪烁。

但是,当颜色本身的索引为 0-15 并且我只有 1 个字节时,我该如何设置它?我的意思是:我可以将颜色字节设置为,1eh以便在蓝色背景上有一个黄色字符。如何在其中压缩有关所需闪烁的信息?

0 投票
2 回答
1214 浏览

operating-system - 如何在c中以保护模式打印字符串

我是 os Deving 的入门者,并设法制作了一个引导加载程序,然后是一个内核。我成功地跳转到了保护模式并将控制权转移到了内核。我可以写单个字符但打印字符串不起作用。这是我的 printString()功能。

我的打印字符功能在这里

这是函数调用

请帮助我,我是操作系统开发的初学者

0 投票
2 回答
2842 浏览

assembly - 在装配中无中断地进入图形模式

如何在不使用 BIOS 中断的情况下进入图形模式(模式 13h)?我的目标是 BIOS 中断不可用的 32 位保护模式。我在网上找到了一个教程,但它只给了我一些提示,比如 VGA 寄存器。

我想知道如何访问 VGA 寄存器?我在NASM中使用 x86 程序集。我知道如何使用 INT 13h/INT 10h BIOS 中断进入图形模式。

0 投票
1 回答
144 浏览

video - VGA输入格式

您如何获得 VGA 格式的像素颜色坐标 (x,y)(来自 vga 电缆)?我知道引脚 13 和 14 是水平和垂直同步的,那么我将如何使用它来获取从前 3 个引脚获得的 rgb 坐标?