问题标签 [function-coverage]

For questions regarding programming in ECMAScript (JavaScript/JS) and its various dialects/implementations (excluding ActionScript). Note JavaScript is NOT the same as Java! Please include all relevant tags on your question; e.g., [node.js], [jquery], [json], [reactjs], [angular], [ember.js], [vue.js], [typescript], [svelte], etc.

0 投票
1 回答
3426 浏览

code-coverage - 验收测试和代码覆盖率

衡量验收测试覆盖率的最佳方法是什么?

你如何定义你的验收测试涵盖了多少以及何时足够?

0 投票
1 回答
83 浏览

transition - 转换覆盖的特殊错误

错误消息的屏幕截图

大家好,我在调试功能覆盖特别是转换覆盖的代码时遇到一个奇怪的错误消息。fifo1 和 fifo2 分别有两个级别引脚,同时对第一级引脚进行覆盖,即 level1 代码解析成功但对于 level2 引脚它抛出一个错误,上面写着:

0 投票
2 回答
570 浏览

specman - 如何动态限制specman中的覆盖项目范围?

信号 x 是位“n”的一个热信号我想只涵盖信号的一个热值而不对其他值感兴趣。

例如:如果信号 x 是 3 位,那么我想 x 是否达到低于值的值不是。

我尝试在下面实现目标。

但是上面的代码并不优雅,因为 'n' `定义了可以根据环境变化的值。请帮助我如何为上述案例撰写报道。

提前感谢大家的帮助。问候, 斯里坎特

0 投票
1 回答
1064 浏览

system-verilog - 如何处理coverpoint?

如何获得覆盖点的句柄,以便可以使用该句柄调用方法?首先,我需要知道覆盖点的类型,以便实例化句柄。

这是一个例子:

当我使用 VCS 2013.06 运行上述内容时,我得到:

注意:当我运行时$display("%s", $typename(inst.my_covergroup.my_coverpoint)),我得到<unknown>

0 投票
1 回答
1780 浏览

functional-testing - SV:如何在不必担心时钟周期的情况下为转换创建功能覆盖?

通常,对于某个过渡,我只会在很长一段时间内使用重复方法。

IE。

是否有另一种方法来检查多个转换但没有设置重复限制。为了澄清我希望能够检查某些转换是否发生,但不关心时间(clk 周期)。

0 投票
1 回答
2032 浏览

system-verilog - Systemverilog 覆盖范围

我正在使用系统 verilog 覆盖范围,我想检查 bins 范围。我希望它在 1000-2000 范围内,但只有 mod 5 中的值才会被采样。例如 1000、1005、1010 等。

谢谢您的帮助!

0 投票
1 回答
319 浏览

specman - Specman e:如何禁用实例/单元的覆盖范围?

在我的验证环境下sys有一个timer_sve. 在timer_sve我有 2 个其他实例下:timerocp_master

我只需要为timer. 我已经尝试过这段代码(以及它的许多其他变体)来禁用以下代码的覆盖范围ocp_master

代码已编译并成功运行,但它继续收集覆盖率ocp_master... 如何禁用收集ocp_master覆盖率?非常感谢您的帮助。

0 投票
1 回答
687 浏览

system-verilog - 来自 ALDEC 的 Riviera-PRO EDU 2014.10 工具的 FCOVER 功能覆盖报告

以下是使用 EDA Playground 下的 Riviera-PRO EDU 2014.10 工具根据http://www.edaplayground.com/x/96S中应用的以下命令生成的功能覆盖率报告(cov.txt)

vsim +访问+ r; 运行-全部;acdb 保存 acdb 报告 -db fcover.acdb -txt -o cov.txt;

请根据定义的覆盖组和覆盖点建议任何文档来分析覆盖图计算。

0 投票
1 回答
859 浏览

system-verilog - 功能覆盖没有显示正确的结果

我开发了一个简单的 uvm 测试台来验证一个简单的加法器。我也使用功能覆盖率来监控覆盖率。加法器是 8 位,输入ab输出c是 9 位。

我已经rand logica和开发了 8 位的事务b。按顺序,我已经运行了repeat(100)它,它会随机化并驱动abDUT。对于这种情况,功能覆盖率的最佳情况是 (100/256)*100%,即假设不会重复任何值,约为 40%。我在记分牌中对覆盖范围进行采样,并在 env 中获取覆盖结果。

这是我的代码片段

当我运行代码时,我得到了大约 81 的覆盖率。结果如下所示

谁能解释我在这里犯了什么错误?覆盖范围是否在所有运行中累积?

0 投票
1 回答
753 浏览

system-verilog - 如何在 QuestaSIM 的 Coverage 中获取采样箱的来源

我正在使用 QuestaSIM 并从回归中获得合并的覆盖率报告。

从我的合并覆盖率报告中,我如何将采样箱追溯到其模拟(测试名称和种子值)?