如何获得覆盖点的句柄,以便可以使用该句柄调用方法?首先,我需要知道覆盖点的类型,以便实例化句柄。
这是一个例子:
class my_coverage_class;
rand bit my_coverpoint;
covergroup my_covergroup;
option.per_instance = 1;
coverpoint my_coverpoint;
endgroup
function new;
my_covergroup = new;
endfunction
endclass: my_coverage_class
program automatic testbench;
initial begin
my_coverage_class inst = new();
begin
var type(inst.my_covergroup.my_coverpoint) cp
= inst.my_covergroup.my_coverpoint; // BREAKS HERE
cp.get_inst_coverage();
end
end
endprogram // testbench
当我使用 VCS 2013.06 运行上述内容时,我得到:
Error-[NYI] Not Yet Implemented
testbench, 16
Feature is not yet supported: Type operator not supported
注意:当我运行时$display("%s", $typename(inst.my_covergroup.my_coverpoint))
,我得到<unknown>