0

我开发了一个简单的 uvm 测试台来验证一个简单的加法器。我也使用功能覆盖率来监控覆盖率。加法器是 8 位,输入ab输出c是 9 位。

我已经rand logica和开发了 8 位的事务b。按顺序,我已经运行了repeat(100)它,它会随机化并驱动abDUT。对于这种情况,功能覆盖率的最佳情况是 (100/256)*100%,即假设不会重复任何值,约为 40%。我在记分牌中对覆盖范围进行采样,并在 env 中获取覆盖结果。

这是我的代码片段

// monitor class
  covergroup cg;
    a : coverpoint sb_item.a;
    b : coverpoint sb_item.b;
  endgroup
  ...
  function void write(input input_seq_item i);
    sb_item = i;
    if(sb_item.c == sb_item.a + sb_item.b)
      begin
        `uvm_info("SB","OK!",UVM_LOW)
        cg.sample();
      end
      else
        `uvm_error("SB",$sformatf("ERROR! %b + %b = %b", sb_item.a, sb_item.b, sb_item.c), UVM_LOW)
  endfunction

  // env class
  ...
  task run_phase(uvm_phase phase);
    sb.cg.stop();
    phase.raise_objection(this);
    sb.cg.start();
    seq.start(sqr);
    phase.drop_objection(this);
    sb.cg.stop();
    `uvm_info("env",$sformatf("The coverage collected is %f",sb.cg.a.get_coverage()),UVM_LOW);
  endtask
  ...

当我运行代码时,我得到了大约 81 的覆盖率。结果如下所示

# KERNEL: UVM_INFO /home/runner/monitor.sv(56) @ 996: uvm_test_top.env.sb [SB] OK!
# KERNEL: UVM_INFO /home/runner/env.sv(34) @ 996: uvm_test_top.env [env] The coverage collected is 85.937500
# KERNEL: UVM_INFO /home/build/vlib1/vlib/uvm-1.2/src/base/uvm_objection.svh(1271) @ 996: reporter [TEST_DONE] 'run' phase is ready to proceed to the 'extract' phase
# KERNEL: UVM_INFO /home/build/vlib1/vlib/uvm-1.2/src/base/uvm_report_server.svh(855) @ 996: reporter [UVM/REPORT/SERVER] 
# KERNEL: --- UVM Report Summary ---
# KERNEL: 
# KERNEL: ** Report counts by severity
# KERNEL: UVM_INFO :  204
# KERNEL: UVM_WARNING :    0
# KERNEL: UVM_ERROR :    0
# KERNEL: UVM_FATAL :    0
# KERNEL: ** Report counts by id
# KERNEL: [Driver]   100
# KERNEL: [RNTST]     1
# KERNEL: [SB]   100
# KERNEL: [TEST_DONE]     1
# KERNEL: [UVM/RELNOTES]     1
# KERNEL: [env]     1
# KERNEL: 
# RUNTIME: Info: RUNTIME_0068 uvm_root.svh (521): $finish called.
# KERNEL: Time: 996 ns,  Iteration: 61,  Instance: /top,  Process: @INITIAL#14_0@.
# KERNEL: stopped at time: 996 ns
# VSIM: Simulation has finished. There are no more test vectors to simulate.
exit
# FCOVER: Covergroup Coverage data has been saved to "fcover.acdb" database.
# VSIM: Simulation has finished.

谁能解释我在这里犯了什么错误?覆盖范围是否在所有运行中累积?

4

1 回答 1

1

覆盖范围是否在所有运行中累积取决于您正在分析的内容。不过,我猜你只分析一个模拟。您的计算是正确的,每次测试可以获得的最大覆盖率约为 40%(基本上每个覆盖点为 40%,平均在一起),但这不太可能达到。

您还需要查看(除了百分比)是实际创建的垃圾箱。我认为您不会为aor的每个值获得一个 bin b,但其中一些可能会聚集在一起(即ain[ 0..3 ]将是一个 bin,依此类推,留下 256/4 个 bin 而不是 256)。每个覆盖点都有一个名为 的选项auto_bin_max,其默认值为 64。如果您将其设置为 256 或为每个ab可能采用的值显式声明一个(范围)bin,您将获得您期望的覆盖百分比。

附带说明一下,您通常不会为数据项的每个值创建覆盖范围,因为这实际上没有意义。在典型的设备中,数据项可以采用的值非常多,以至于您无法全部验证。然而,你会做的是为更“有趣”的情况声明垃圾箱。在您的情况下,有趣的值是08'hff以及介于两者之间的任何值。还特别有趣的是交叉ab检查组合,尤其是两者兼有a的情况(因为那是您的结果将在 8 位上溢出并输出进位的地方。b8'hff

于 2015-03-12T09:21:55.547 回答