3

我正在使用系统 verilog 覆盖范围,我想检查 bins 范围。我希望它在 1000-2000 范围内,但只有 mod 5 中的值才会被采样。例如 1000、1005、1010 等。

谢谢您的帮助!

4

1 回答 1

4

据我所知,这在 SV 2012 中很容易实现。以下是您的情况:

coverpoint x {
  bins mod5[] = {[1000:2000]} with (item % 5 == 0);
}

您可以在IEEE Std 1800-2012的第 19.5.1.1 节中阅读更多内容。如果您没有只能执行 SV 2009 的旧模拟器,那么您必须手动定义这些值。

于 2014-04-22T08:09:16.940 回答