我开始使用 Altera 的 Quartus 包学习 FPGA 编程。
我有一些遗留代码,包括以下内容:
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.math_real.all;
library floatfixlib;
use floatfixlib.fixed_pkg.all; --this is the VHDL93 implementation of the VHDL08 fixed point code from http://www.eda-stds.org/fphdl/
use work.ioarrays.all;
不幸的是,评论中的链接现在已经失效。
最初我有以下错误:
Error (10481): VHDL Use Clause error at myvhdlfile.vhd(8): design library "floatfixlib" does not contain primary unit "fixed_pkg"
在阅读完之后,我使用这个答案将相关的 ieee 库文件(fixed_float_types_c.vhdl
, fixed_pkg_c.vhdl
, float_pkg_c.vhdl
)归于 ieee_proposed 库,并将代码更改为:
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.math_real.all;
library ieee_proposed;
use ieee_proposed.fixed_pkg.all;
use work.ioarrays.all;
然后似乎可以编译。
但是,我不确定这是否是正确的方法,而且我对这些库开发背后的高级故事的理解也很缺乏。
因此; 请描述 ieee 和 floatfixlib vhdl 库之间的关系。对它们发展的原因、简短的时间表和它们的现状进行简洁的描述将是理想的。