5

我正在尝试使用 Xilinx ISim 模拟我的 VHDL 代码。

当我尝试为模拟生成测试平台时,模拟器抛出以下错误:

FATAL_ERROR:Simulator:Fuse.cpp:500:1.133 - Failed to compile generated C file isim/

我尝试在 32 位 Ubuntu 12.04 上使用 ISE 14.3、32 位 Windows XP SP3 以及 ISE 14.3 和 64 位 Windows 7 SP1 与以前相同的 ISE 版本对其进行模拟。

Xilinx 支持提供了重新安装作为解决方案,但这并没有解决问题。

也许 Stack Overflow 上的社区有一个想法?

4

1 回答 1

0

那是一个工具崩溃,在 stackoverflow 上很难得到答案,至少在不提供一些代码和导致问题的步骤的情况下。我会得到 Xilinx 支持并打开一个案例——这是他们需要在工具中修复的问题。您可以将您的代码作为测试用例提供给他们吗?可能会减少它,使其尽可能简单,同时仍然会导致问题。

由于机密性,我永远不能将生产代码作为测试用例提供给 EDA 供应商。我经常发现,在创建可以发送的较小测试用例时,我还能够找到原始问题的解决方法。尝试一个二分搜索程序——删除或黑盒一半的设计,看看崩溃是否仍然发生。

并且——你能找到编译失败的特定 C 文件吗?查看它可能会为您的设计中可能导致问题的原因提供线索。

于 2012-12-15T22:02:13.983 回答