问题标签 [synopsys-vcs]

For questions regarding programming in ECMAScript (JavaScript/JS) and its various dialects/implementations (excluding ActionScript). Note JavaScript is NOT the same as Java! Please include all relevant tags on your question; e.g., [node.js], [jquery], [json], [reactjs], [angular], [ember.js], [vue.js], [typescript], [svelte], etc.

0 投票
1 回答
73 浏览

verilog - Verilog 总是与分配

clk_out1模拟器在下一个活动的 Verilog 调度窗口 wrt进行评估是否合法clkclk_out2似乎在与clk.

在这张图片中 红色 - NBA 区域 黄色 - 活跃区域 在此处输入图像描述

0 投票
0 回答
53 浏览

tcl - 用于在 DC 编译器的 tcl 中获取 D 触发器中所有 D 引脚的命令

我试图在我的设计中获得所有 DFF 的所有 D 引脚。

我当前的命令是“get_pins -hier */next_state”,但它似乎只获得顶层和第二层的引脚。

例如,如果有一个引脚名称“u_moduleA/u_moduleB/u_moduleC/u_registerD/next_state”,那么使用我的命令它不会在列表中。

参考:get_pins 命令

0 投票
1 回答
59 浏览

bash - TCL处理参数模板,为什么[set argv {}]

以下是来自 tcl wiki链接的模板,此代码可用于处理 tcl 命令行参数。我想知道为什么我们需要[set argv {}]在第 7 行。

0 投票
0 回答
32 浏览

windows-subsystem-for-linux - ubuntu 20.04 无法运行 VCS2018 SP1

我是用win10的WSL(ubuntu 20.04)安装VCS2018和verdi 安装完成后,Verdi可以工作,但是VCS不能工作

列出的一些日志:

lunatic_wei@PC-20201004MJRO:/opt/sim$ uname -a
Linux PC-20201004MJRO 4.4.0-19041-Microsoft #1237-Microsoft Sat Sep 11 14:32:00 PST 2021 x86_64 x86_64 x86_64 GNU/Linux

当我运行 vcs 时,出现错误:

lunatic_wei@PC-20201004MJRO:/opt/sim$ vcs -R r1.v r2.v -debug_acc+fsdb
*** 使用 c 编译器 gcc-4.4 代替 cc ...
Chronologic VCS (TM)
版本 O-2018.09-1_Full64 -- 2022 年 2 月 12 日星期六 15:52:55
版权所有 (c) 1991-2018,归 Synopsys Inc.
所有。保留所有权利
本程序是 Synopsys Inc. 的专有和机密信息,
只能在许可协议中授权的情况下使用和
披露此类使用和披露。

解析设计文件 'r1.v'
解析设计文件 'r2.v'
顶级模块:
r2
未指定时间刻度 正在
启动 vcs 内联传递...
1 个模块和 0 个 UDP 读取。
但是,由于增量编译,不需要重新编译。
rm -f csrc*.so pre_vcsobj .so share_vcsobj_ .so
ld:archive.4/_5539_archive_1.a(amcQwB.o):索引 464 处的 .symtab 本地符号 (>= sh_info of 2)
ld:archive.4/_5539_archive_1。 a(amcQwB.o):添加符号时出错:错误值 make 1:*** [filelist.cu:7: _5539_archive_1.so] 错误 1
​​make: *** [Makefile:103: product_clean_order] 错误 2
Make 以状态退出2

CPU 时间:编译 0.640 秒 + elab 0.236 秒 + 链接 0.406 秒

我的 GCC 版本:4.4.7

我的 .bashrc 内容如下:

#export VCS_ARCH_OVERRIDE="linux"
export PATH="/opt/synopsys/vcs/vcs-mx/O-2018.09-1/gui/dve/bin:"$PATH
export DVE_HOME="/opt/synopsys/vcs/vcs- mx/O-2018.09-1/gui/dve"
export PATH="/opt/synopsys/vcs/vcs-mx/O-2018.09-1/bin:"$PATH
export VCS_HOME="/opt/synopsys/vcs/vcs -mx/O-2018.09-1"
#verdi
export PATH="/opt/synopsys/verdi/verdi/Verdi_O-2018.09-SP2/bin:"$PATH
export VERDI_HOME="/opt/synopsys/verdi/verdi/Verdi_O- 2018.09-SP2"
导出 LD_LIBRARY_PATH="/opt/synopsys/verdi/verdi/Verdi_O-2018.09-SP2/share/PLI/lib/LINUX64":$LD_LIBRARY_PATH
导出 VERDI_DIR="/opt/synopsys/verdi/verdi/Verdi_O-2018.09 -SP2"
导出 NOVAS_INST_DIR="/opt/synopsys/verdi/verdi/Verdi_O-2018.09-SP2"
export NPI_PLATFORM="LINUX64_GNU_472"
export LD_LIBRARY_PATH="$NOVAS_INST_DIR/share/NPI/lib/LINUX64_GNU_520":$LD_LIBRARY_PATH
export NOVAS_HOME="/opt/synopsys/verdi/verdi/Verdi_O-2018.09-SP2"
#LICENSE
export SNPSLMD_LICENSE_FILE="/ opt/synopsys/scl/scl/2018.06/admin/license/Synopsys.dat"
export SNPSLMD_LICENSE_FILE=27000@PC-20201004MJRO
export LM_LICENSE_FILE="/opt/synopsys/scl/scl/2018.06/admin/license/Synopsys.dat"
别名lmg_synopsys="/opt/synopsys/scl/scl/2018.06/linux64/bin/lmgrd -c /opt/synopsys/scl/scl/2018.06/admin/license/Synopsys.dat"
导出路径=/opt/synopsys/scl/ scl/2018.06/linux64/bin:$PATH
别名 dve="dve -full64 &"
别名 vcs="vcs -full64 -cpp g++-4.4 -cc gcc-4.4 -LDFLAGS -WL,--no-as-needed"
别名 verdi="verdi -full64 &"

非常感谢~~