问题标签 [edaplayground]

For questions regarding programming in ECMAScript (JavaScript/JS) and its various dialects/implementations (excluding ActionScript). Note JavaScript is NOT the same as Java! Please include all relevant tags on your question; e.g., [node.js], [jquery], [json], [reactjs], [angular], [ember.js], [vue.js], [typescript], [svelte], etc.

0 投票
2 回答
43 浏览

verilog - 为什么这个 Verilog 模块在第 9 行显示“无效模块项”?

我正在学习 Verilog 中的循环,并想创建一个时间周期为 20ns 的简单时钟。每当我尝试在 EDA Playground 中运行代码时,都会出现以下错误。

design.sv:9:语法错误 design.sv:9:错误:无效的模块项。

0 投票
2 回答
55 浏览

verilog - 在 SystemVerilog 中使用带有线的 typedef

当使用typedef声明用户定义类型时, EDA Playground接受这两种形式:

但是,如果根据类型做类似的事情wire,那么这种格式会失败:

我得到“语法错误”。

这怎么解释?

0 投票
0 回答
16 浏览

verilog - 用于以下测试台和设计输出为 z。为什么?

// 试验台

//下面是设计

对于任何随机输入,输出 y 都以 z 形式出现