0

我正在尝试在 linux Pop OS 21.10 上运行 Quartus II(Quartus Prime 版本 21.1.0 Build 842 10/21/2021 Sj Lite Edition)。我无法从模拟波形编辑器运行 Questas 模拟的输出产生结果。

项目

设置

  • 我用这些选项创建了一个新项目 在此处输入图像描述
  • 我选择了5CSEMA5F31C6董事会

文件

.bdf 文件:

在此处输入图像描述

.vwf 文件:

在此处输入图像描述

模拟设置

请注意删除-novopt以抑制声称弃用该选项的错误消息。如果留在脚本中但默认插入脚本中,则拒绝模拟。这是我最不确定的一步。

onerror {exit -code 1}
vlib work
vlog -work work ok.vo
vlog -work work Waveform1.vwf.vt
vsim -c -t 1ps -L cyclonev_ver -L altera_ver -L altera_mf_ver -L 220model_ver -L sgate_ver -L altera_lnsim_ver work.ok_vlg_vec_tst
vcd file -direction ok.msim.vcd
vcd add -internal ok_vlg_vec_tst/*
vcd add -internal ok_vlg_vec_tst/i1/*
proc simTimestamp {} {
    echo "Simulation time: $::now ps"
    if { [string equal running [runStatus]] } {
        after 2500 simTimestamp
    }
}
after 2500 simTimestamp
run -all
quit -f

重现步骤

  • 运行功能仿真在 Simulation Waveform Editor 中按“功能仿真”
  • 等待模拟完成
  • 等待只读结果窗口出现

结果

预期的

在此处输入图像描述

实际的

在此处输入图像描述

结论

我未能在C.

非常感谢您的帮助。

4

0 回答 0