1

我正在尝试在 EDA Playground 中模拟我的设计。我使用 ModelSim(不是来自 EDA)在我的本地计算机上测试了我的设计文件和测试台文件,它是成功的。但是,我尝试对 EDA Playground 做同样的事情。它在没有 EPWave 的情况下成功编译和运行。当我尝试单击“打开 EPWave”选项时,它给了我一个名为

未找到 *.vcd 文件。EPWave 不会打开。你用过'$dumpfile("dump.vcd"); $dumpvars;'?

我该如何解决这个问题?谢谢。

我还将链接添加到我的设计https://www.edaplayground.com/x/A9Rb

4

1 回答 1

2

这是世界上最有用的错误信息;它告诉你要写什么代码。基本上,Verilog 模拟器需要你

  • 打开一个文件来存储波形信息,这就是这样 $dumpfile("dump.vcd");做的;
  • 指定有关您希望将设计的哪些部分存储在文件中的波形信息,这就是$dumpvars;所做的(在这种情况下存储一切)。

您需要将这两行代码添加到初始块的开头,可以是完全独立的,也可以是现有的,例如第 21 行:

initial begin
    $dumpfile("dump.vcd"); $dumpvars;
    //ADDITION
    ALU_CONTROL = 5'b00100;
于 2021-01-18T10:52:09.603 回答