0

当我运行 simple_dff cocotb 示例时:

make SIM=ghdl TOPLEVEL_LANG=vhdl

我收到以下错误:

loading VPI module 'C:/users/tomek/miniconda3/lib/site-packages/cocotb/libs/libcocotbvpi_ghdl.dll'
%1 is not Valid Win32 Application.

C:\eda\ghdl\bin\ghdl.exe:error: cannot load VPI module

我的设置:

  1. Windows 10 64 位
  2. GHDL 0.37 (v0.37) [Dunoon edition] 与 GNAT 编译版本:9.1.0
  3. Python 3.7.7 [MSC v.1916 64 位 (AMD64)] :: Anaconda, Inc. on win32
  4. 康达 4.8.3
  5. cocotb '1.4.0'

我猜 64 和 32 位库有问题...

欢迎任何帮助

4

1 回答 1

0

尝试遵循这些说明:https ://github.com/cocotb/cocotb/wiki/Tier-2-Setup-Instructions#32-bit-python和https://docs.cocotb.org/en/stable/install。 html#installation-of-prerequisites

上次我检查 GHDL 在 Windows 上运行时遇到问题(可能与 cocotb 无关)请参阅:https ://github.com/cocotb/cocotb/pull/1644

于 2020-07-15T08:57:49.200 回答