2

我有 Xilinx Spartan6 和下一个 VHDL 代码:

library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity Switches_Leds is
    Port (switch_0: in STD_LOGIC;
          LED_0 : out STD_LOGIC);
end Switches_Leds;

architecture Behavioral of Switches_Leds is
begin
   LED_0 <= switch_0;
end;

这是我的用户约束文件:

NET "switch_0" LOC = C3;

NET "LED_0" LOC = P4;

我的问题是:为什么LED总是打开,但如果我点击按钮它会熄灭?

我解释一下:我对我的 fpga 进行编程 - LED 已打开,我按下开关按钮 - LED 已关闭,我松开按钮 - LED 亮起。

4

1 回答 1

3

反转可能发生在两个地方:

  • 在按钮中。
  • 在 LED 中。

这一切都取决于它们是如何连接的。我做了一个图表:

在此处输入图像描述

(必须绘制它,因为我们这里没有 EE 网站上的原理图编辑器)

如果你看图:按钮可以通过两种方式连接。它们可以在推动时产生高点或低点。

LED也可以通过两种方式连接:它们可以在输出高时亮起,或者在输出低时亮起。

这为您提供了四种组合,其中两种在按下按钮时 LED 点亮,两种在按下按钮时 LED 熄灭。

于 2018-06-09T14:48:06.307 回答