我希望能够在我的测试平台层次结构中不断地强制降低信号。这是一个简单的示例,说明了我如何在测试台上执行此操作。
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity double_inverter is
port(
a : in std_logic;
z : out std_logic
);
end double_inverter;
architecture x of double_inverter is
signal b : std_logic;
begin
b <= not a;
z <= not b;
end architecture x;
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity inverter_tb is
end inverter_tb;
architecture y of inverter_tb is
signal z : std_logic;
signal clk : std_logic := '0';
begin
clk <= not clk after 5 ns;
dut : entity work.double_inverter
port map(
a => '0',
z => z
);
continuous_stim : process(clk)
begin
<< signal dut.b : std_logic >> <= force clk;
end process;
end architecture y;
这在 Modelsim 10.4b 中有效,即 double_inverter 实例中的信号 b 将由 clk 设置,而不是信号 a,但是有更好的方法来控制外部名称信号吗?
谢谢你的帮助。