0

我正在尝试使用结构化架构在 xilinx ise 14.7 和 vhdl 编程语言中编写代码。我有一个名为 mux_xor 的 vhdl 模块和一个名为 Q1 的自上而下的模块。我在 Q1 自上而下模块中收到此错误:

第 62 行:“架构”附近的语法错误。我的 Q1 vhdl 模块代码如下:实体 Q1 是

generic(n : integer := 10);
port(
    A,B : in std_logic_vector(0 to N-1);
    C,D : in std_logic;
    F: out std_logic);
end Q1;

architecture STRUCT of Q1 is

  signal K: std_logic_vector(0 to n-1);
  signal S: std_logic_vector(0 to n -1);

  component mux_xor
    port(A,B,inK,inS: in std_logic;
    oK,oS: out std_logic);
  end component mux_xor;

begin

  first_mux: mux_xor port map(A(0),B(0),C,D,K(0),S(0));

  comp_gen:
    for i in 1 to n-1 generate
      new_mux : mux_xor port map(A(i), B(i), K(i-1), S(i-1),K(i), S(i));

  F<=K(N-1);

end Architecture;
4

1 回答 1

2

查看行: for i in 1 to n-1 generate,您需要一个匹配的end generate;,因此语句如下所示:

for i in 1 to n-1 generate
  new_mux : mux_xor port map(A(i), B(i), K(i-1), S(i-1),K(i), S(i));
end generate;

花 5 分钟对围绕错误的语法进行基本的 google 搜索可能是值得的。这些都是非常非常基本的错误。

于 2017-05-11T12:25:22.137 回答