0

我正在尝试使用最新版本的 El Capitan 在 Mac OS 上编译 HaxeUI 应用程序。

已安装的 Haxe 库列表,包括版本:

$ haxelib list
actuate: [1.8.6]
box2d: [1.2.3]
format: [3.2.1]
haxeui-file-dialogs: [0.1.1]
haxeui-rich-text: [0.1.2]
haxeui: [1.7.20]
hscript: [2.0.5]
hxcpp: [3.2.193]
layout: [1.2.1]
lime-samples: [2.6.0]
lime: [2.7.0]
nme: [5.5.7]
openfl-samples: [3.3.1]
openfl: [3.4.0]
swf: [2.1.3]
yagp: [1.1.4]

在尝试使用 openfl build mac 进行编译时,我收到以下错误和警告:

./src/haxe/ui/toolkit/core/renderers/ItemRenderer.cpp:161:15: error: allocating an object of abstract class type '::haxe::ui::toolkit::core::interfaces::IStyleableDisplayObject_delegate_<ItemRenderer_obj>'
        { return new ::haxe::ui::toolkit::core::interfaces::IStyleableDisplayObject_delegate_< ItemRenderer_obj >(this); }
                     ^

include/haxe/ui/toolkit/core/interfaces/IDisplayObject.h:127:16: note: unimplemented pure virtual method 'addEventListener' in 'IStyleableDisplayObject_delegate_'
                virtual Void addEventListener( ::String type,Dynamic listener,hx::Null< bool >  useCapture,hx::Null< int >  priority,hx::Null< bool >  useWeakReference)=0;
                             ^

include/haxe/ui/toolkit/core/interfaces/IDisplayObject.h:128:17: note: unimplemented pure virtual method 'addEventListener_dyn' in 'IStyleableDisplayObject_delegate_'
virtual Dynamic addEventListener_dyn()=0;
                ^
include/haxe/ui/toolkit/core/interfaces/IDisplayObject.h:129:16: note: unimplemented pure virtual method 'dispatchEvent' in 'IStyleableDisplayObject_delegate_'
                virtual bool dispatchEvent( ::openfl::_legacy::events::Event event)=0;
                             ^

include/haxe/ui/toolkit/core/interfaces/IDisplayObject.h:130:17: note: unimplemented pure virtual method 'dispatchEvent_dyn' in 'IStyleableDisplayObject_delegate_'
virtual Dynamic dispatchEvent_dyn()=0;
                ^
include/haxe/ui/toolkit/core/interfaces/IDisplayObject.h:131:16: note: unimplemented pure virtual method 'hasEventListener' in 'IStyleableDisplayObject_delegate_'
                virtual bool hasEventListener( ::String type)=0;
                             ^

include/haxe/ui/toolkit/core/interfaces/IDisplayObject.h:132:17: note: unimplemented pure virtual method 'hasEventListener_dyn' in 'IStyleableDisplayObject_delegate_'
virtual Dynamic hasEventListener_dyn()=0;
                ^

include/haxe/ui/toolkit/core/interfaces/IDisplayObject.h:133:16: note: unimplemented pure virtual method 'removeEventListener' in 'IStyleableDisplayObject_delegate_'
                virtual Void removeEventListener( ::String type,Dynamic listener,hx::Null< bool >  useCapture)=0;
                             ^

include/haxe/ui/toolkit/core/interfaces/IDisplayObject.h:134:17: note: unimplemented pure virtual method 'removeEventListener_dyn' in 'IStyleableDisplayObject_delegate_'
virtual Dynamic removeEventListener_dyn()=0;
                ^

include/haxe/ui/toolkit/core/interfaces/IDisplayObject.h:135:16: note: unimplemented pure virtual method 'willTrigger' in 'IStyleableDisplayObject_delegate_'
                virtual bool willTrigger( ::String type)=0;
                             ^

include/haxe/ui/toolkit/core/interfaces/IDisplayObject.h:136:17: note: unimplemented pure virtual method 'willTrigger_dyn' in 'IStyleableDisplayObject_delegate_'
virtual Dynamic willTrigger_dyn()=0;
                ^

./src/haxe/ui/toolkit/core/renderers/ItemRenderer.cpp:165:15: error: allocating an object of abstract class type '::haxe::ui::toolkit::core::interfaces::IComponent_delegate_<ItemRenderer_obj>'
        { return new ::haxe::ui::toolkit::core::interfaces::IComponent_delegate_< ItemRenderer_obj >(this); }
                     ^

include/haxe/ui/toolkit/core/interfaces/IDisplayObject.h:127:16: note: unimplemented pure virtual method 'addEventListener' in 'IComponent_delegate_'
                virtual Void addEventListener( ::String type,Dynamic listener,hx::Null< bool >  useCapture,hx::Null< int >  priority,hx::Null< bool >  useWeakReference)=0;
                             ^

include/haxe/ui/toolkit/core/interfaces/IDisplayObject.h:128:17: note: unimplemented pure virtual method 'addEventListener_dyn' in 'IComponent_delegate_'
virtual Dynamic addEventListener_dyn()=0;
                ^

include/haxe/ui/toolkit/core/interfaces/IDisplayObject.h:129:16: note: unimplemented pure virtual method 'dispatchEvent' in 'IComponent_delegate_'
                virtual bool dispatchEvent( ::openfl::_legacy::events::Event event)=0;
                             ^

include/haxe/ui/toolkit/core/interfaces/IDisplayObject.h:130:17: note: unimplemented pure virtual method 'dispatchEvent_dyn' in 'IComponent_delegate_'
virtual Dynamic dispatchEvent_dyn()=0;
                ^

include/haxe/ui/toolkit/core/interfaces/IDisplayObject.h:131:16: note: unimplemented pure virtual method 'hasEventListener' in 'IComponent_delegate_'
                virtual bool hasEventListener( ::String type)=0;
                             ^

include/haxe/ui/toolkit/core/interfaces/IDisplayObject.h:132:17: note: unimplemented pure virtual method 'hasEventListener_dyn' in 'IComponent_delegate_'
virtual Dynamic hasEventListener_dyn()=0;
                ^

include/haxe/ui/toolkit/core/interfaces/IDisplayObject.h:133:16: note: unimplemented pure virtual method 'removeEventListener' in 'IComponent_delegate_'
                virtual Void removeEventListener( ::String type,Dynamic listener,hx::Null< bool >  useCapture)=0;
                             ^

include/haxe/ui/toolkit/core/interfaces/IDisplayObject.h:134:17: note: unimplemented pure virtual method 'removeEventListener_dyn' in 'IComponent_delegate_'
virtual Dynamic removeEventListener_dyn()=0;
                ^

include/haxe/ui/toolkit/core/interfaces/IDisplayObject.h:135:16: note: unimplemented pure virtual method 'willTrigger' in 'IComponent_delegate_'
                virtual bool willTrigger( ::String type)=0;
                             ^

include/haxe/ui/toolkit/core/interfaces/IDisplayObject.h:136:17: note: unimplemented pure virtual method 'willTrigger_dyn' in 'IComponent_delegate_'
virtual Dynamic willTrigger_dyn()=0;
                ^

./src/haxe/ui/toolkit/core/renderers/ItemRenderer.cpp:171:15: error: allocating an object of abstract class type '::haxe::ui::toolkit::core::interfaces::IStateComponent_delegate_<ItemRenderer_obj>'
        { return new ::haxe::ui::toolkit::core::interfaces::IStateComponent_delegate_< ItemRenderer_obj >(this); }
                     ^

include/haxe/ui/toolkit/core/interfaces/IDisplayObject.h:127:16: note: unimplemented pure virtual method 'addEventListener' in 'IStateComponent_delegate_'
                virtual Void addEventListener( ::String type,Dynamic listener,hx::Null< bool >  useCapture,hx::Null< int >  priority,hx::Null< bool >  useWeakReference)=0;
                             ^

include/haxe/ui/toolkit/core/interfaces/IDisplayObject.h:128:17: note: unimplemented pure virtual method 'addEventListener_dyn' in 'IStateComponent_delegate_'
virtual Dynamic addEventListener_dyn()=0;
                ^

include/haxe/ui/toolkit/core/interfaces/IDisplayObject.h:129:16: note: unimplemented pure virtual method 'dispatchEvent' in 'IStateComponent_delegate_'
                virtual bool dispatchEvent( ::openfl::_legacy::events::Event event)=0;
                             ^

include/haxe/ui/toolkit/core/interfaces/IDisplayObject.h:130:17: note: unimplemented pure virtual method 'dispatchEvent_dyn' in 'IStateComponent_delegate_'
virtual Dynamic dispatchEvent_dyn()=0;
                ^

include/haxe/ui/toolkit/core/interfaces/IDisplayObject.h:131:16: note: unimplemented pure virtual method 'hasEventListener' in 'IStateComponent_delegate_'
                virtual bool hasEventListener( ::String type)=0;
                             ^

include/haxe/ui/toolkit/core/interfaces/IDisplayObject.h:132:17: note: unimplemented pure virtual method 'hasEventListener_dyn' in 'IStateComponent_delegate_'
virtual Dynamic hasEventListener_dyn()=0;
                ^

include/haxe/ui/toolkit/core/interfaces/IDisplayObject.h:133:16: note: unimplemented pure virtual method 'removeEventListener' in 'IStateComponent_delegate_'
                virtual Void removeEventListener( ::String type,Dynamic listener,hx::Null< bool >  useCapture)=0;
                             ^

include/haxe/ui/toolkit/core/interfaces/IDisplayObject.h:134:17: note: unimplemented pure virtual method 'removeEventListener_dyn' in 'IStateComponent_delegate_'
virtual Dynamic removeEventListener_dyn()=0;
                ^

include/haxe/ui/toolkit/core/interfaces/IDisplayObject.h:135:16: note: unimplemented pure virtual method 'willTrigger' in 'IStateComponent_delegate_'
                virtual bool willTrigger( ::String type)=0;
                             ^

include/haxe/ui/toolkit/core/interfaces/IDisplayObject.h:136:17: note: unimplemented pure virtual method 'willTrigger_dyn' in 'IStateComponent_delegate_'
virtual Dynamic willTrigger_dyn()=0;
                ^

./src/haxe/ui/toolkit/core/renderers/ItemRenderer.cpp:173:15: error: allocating an object of abstract class type '::haxe::ui::toolkit::core::interfaces::IDisplayObjectContainer_delegate_<ItemRenderer_obj>'
        { return new ::haxe::ui::toolkit::core::interfaces::IDisplayObjectContainer_delegate_< ItemRenderer_obj >(this); }
                     ^

include/haxe/ui/toolkit/core/interfaces/IDisplayObject.h:127:16: note: unimplemented pure virtual method 'addEventListener' in 'IDisplayObjectContainer_delegate_'
                virtual Void addEventListener( ::String type,Dynamic listener,hx::Null< bool >  useCapture,hx::Null< int >  priority,hx::Null< bool >  useWeakReference)=0;
                             ^

include/haxe/ui/toolkit/core/interfaces/IDisplayObject.h:128:17: note: unimplemented pure virtual method 'addEventListener_dyn' in 'IDisplayObjectContainer_delegate_'
virtual Dynamic addEventListener_dyn()=0;
                ^

include/haxe/ui/toolkit/core/interfaces/IDisplayObject.h:129:16: note: unimplemented pure virtual method 'dispatchEvent' in 'IDisplayObjectContainer_delegate_'
                virtual bool dispatchEvent( ::openfl::_legacy::events::Event event)=0;
                             ^

include/haxe/ui/toolkit/core/interfaces/IDisplayObject.h:130:17: note: unimplemented pure virtual method 'dispatchEvent_dyn' in 'IDisplayObjectContainer_delegate_'
virtual Dynamic dispatchEvent_dyn()=0;
                ^

include/haxe/ui/toolkit/core/interfaces/IDisplayObject.h:131:16: note: unimplemented pure virtual method 'hasEventListener' in 'IDisplayObjectContainer_delegate_'
                virtual bool hasEventListener( ::String type)=0;
                             ^

include/haxe/ui/toolkit/core/interfaces/IDisplayObject.h:132:17: note: unimplemented pure virtual method 'hasEventListener_dyn' in 'IDisplayObjectContainer_delegate_'
virtual Dynamic hasEventListener_dyn()=0;
                ^

include/haxe/ui/toolkit/core/interfaces/IDisplayObject.h:133:16: note: unimplemented pure virtual method 'removeEventListener' in 'IDisplayObjectContainer_delegate_'
                virtual Void removeEventListener( ::String type,Dynamic listener,hx::Null< bool >  useCapture)=0;
                             ^

include/haxe/ui/toolkit/core/interfaces/IDisplayObject.h:134:17: note: unimplemented pure virtual method 'removeEventListener_dyn' in 'IDisplayObjectContainer_delegate_'
virtual Dynamic removeEventListener_dyn()=0;
                ^

include/haxe/ui/toolkit/core/interfaces/IDisplayObject.h:135:16: note: unimplemented pure virtual method 'willTrigger' in 'IDisplayObjectContainer_delegate_'
                virtual bool willTrigger( ::String type)=0;
                             ^

include/haxe/ui/toolkit/core/interfaces/IDisplayObject.h:136:17: note: unimplemented pure virtual method 'willTrigger_dyn' in 'IDisplayObjectContainer_delegate_'
virtual Dynamic willTrigger_dyn()=0;
                ^

4 errors generated.
make: *** [build-haxe-i386] Error 1

** BUILD FAILED **


The following build commands failed:
    ExternalBuildToolExecution Build\ Haxe
(1 failure)

有谁能够帮我?我该如何摆脱这些问题?

4

1 回答 1

1

我已经使用Haxe-UI了一段时间了,据我所知,你必须包括haxelib install haxeui-core. 也就是说,我在Linux上。

于 2018-06-03T20:32:04.577 回答