这是我正在使用的库:
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.NUMERIC_STD.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
信号:
signal CountTemp : std_logic_vector(15 downto 0);
和报告声明:
report "Actual CountTemp: " & integer'image(to_integer(unsigned(CountTemp)));
我收到此错误:
at 30 ns, Instance /TESTFILE_tb/ : Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0