我已经为算术移位编写了 VHDL 代码 6。代码运行良好。但是当我将它用作顶部模块中的组件时,输入 b6 有一些未使用的位。所以它在合成过程中给出警告
Xst:647 - Input <b6<9...14>> is never used.
在 ASIC 实施期间,它会发出警告:
O6(0),O(1)...O(5) is connected to same logic(ground).
此警告是否会在性能期间影响我的顶级模块的功率?我可以避免这些警告吗?算术移位 6 的代码如下。
entity shift6 is
Port (
b6 : in STD_LOGIC_VECTOR(15 downto 0);
o6 : out STD_LOGIC_VECTOR(15 downto 0));
end shift6;
architecture Behavioral of shift6 is
begin
process(b6)
begin
o6(15)<=b6(15);
o6(14 downto 6)<=b6(8 downto 0);
o6(0)<='0';
o6(1)<='0';
o6(2)<='0';
o6(3)<='0';
o6(4)<='0';
o6(5)<='0';
end process;
end Behavioral;