-1

我正在运行 Quartus II 13.0sp1(64 位)网络版。我曾经在 ModelSim 模拟器中设计我的模块。不幸的是,当我尝试通过 Quartus II 13.0sp1 使用 Altera 套件测试我的程序时。运行程序需要很长时间。除了我的操作系统是 Windows 8,我在我的 MacBook Pro 2.5 GHz i5 上运行它。

这是我的图书馆、实体和一些架构:

library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity PrimeTest is
port( SW: in std_logic_vector(17 downto 0);
KEY: IN STD_LOGIC_VECTOR(3 DOWNTO 0);
LEDG: out std_logic_vector(8 downto 0);
LEDR: out std_logic_vector(17 downto 0));
end PrimeTest;  

Architecture Behavior1 of PrimeTest is
signal Binary_N: std_logic_vector(17 downto 0);
signal Binary_M: std_logic_vector(17 downto 0); 
signal integer_M: integer;
signal Binary_E: std_logic_vector(17 downto 0); 
signal Integer_N: integer;
signal Integer_R: integer;
signal binary_R: std_logic_vector(17 downto 0);
signal Test1 : std_logic ;

我实际上是通过使用函数来运行我的程序,其中一些是不纯的(我是这个问题的原因!)。但是,这是我的函数的调用:

Begin
integer_M <= Integer_Binary(SW) WHEN KEY = "1110";
test1 <= IS_Prime(integer_M)WHEN KEY = "0111";
LEDG(8) <= test1; 
WITH KEY  SELECT
LEDR <= SW WHEN "1110",
(others=>'0') WHEN OTHERS;          
end Behavior1;
4

1 回答 1

0

Sorry to say your first problem is Windows-8 with Quartus. Unless they fixed it very recently you're stuck in 32-bit mode using only one core. If you could drop-back to Windows-7 on a multi-core machine and use the 64-bit Quartus (and a lot of memory, my machine has an i7 and 32G of RAM).

于 2014-02-27T23:27:47.927 回答