-1

你们有谁知道是否有这样的移位寄存器拓扑可以满足我在标题中所述的要求?

例如,

100101 在一个 clk 周期内左移 3 位位置:

100101 -> 101000

如果有,请告诉我名字,以便我做更深入的研究。如果可能的话,还有model/objectverilog HDL中的名称。

有什么建议么 ?

4

1 回答 1

3

您可以使用桶形移位器来做到这一点,这是允许可变移位长度的移位器的规范形式。桶形移位器是一个组合逻辑块,不是一种互连触发器的方法,但是你可以通过向它添加一个寄存器来制作桶形移位寄存器

于 2013-10-25T10:40:44.273 回答