0

我正在尝试FPGA使用 Matlab 发送/检索数据。我FPGA使用虚拟 com 端口连接。现在如何从 Matlab 向FPGAFPGA 发送数据或读取数据?

FTDI 2232H也在FPGA。我连接了外部 LED 并打开I/O portsFPGA.

我是这个领域的新手,所以想要一些指导来开始黑白交流MAtlabFPGA

我尝试了以下代码:

  s1= serial('COM9')
  fopen(s1)

. 这是正确的沟通方式吗?请指导。谢谢

4

1 回答 1

1

FPGA 使用诸如 Verilog 或 VHDL 之类的硬件描述语言 (HDL) 进行配置。这些语言让您可以指定 FPGA 内的开关配置方式,进而让您构建自定义数字逻辑和处理系统。

Matlab 中的 HDL Coder Toolbox 允许您使用更高级别的函数设计和原型化您的自定义逻辑,然后将其转换为 HDL 并可用于直接对您的芯片进行编程。 本教程详细描述了该过程

如果您已经在 FPGA 上实现了设计并希望与该实现进行通信,则可以使用 Matlab 的串行端口通信功能。确切的协议将取决于您实现的接口。

一些我觉得有帮助的中间调试步骤:

  1. 验证您是否可以从计算机发送串行端口数据。在 Windows XP 中,您可以使用超级终端轻松完成此操作,并将示波器连接到串行电缆的输出引脚。设置触发器以捕获事件。对于 Windows 7 和更新版本,您需要下载超级终端客户端。
  2. 用 Matlab 重复同样的过程。使用示波器,验证您看到从 Matlab 发送的串行端口信号,并且输出与步骤 1 的结果匹配。再次设置示波器触发器以捕获事件。
  3. 现在将串行电缆直接连接到 FPGA 板。修改您的 HDL 以在串行输入上包含一个锁存器,该锁存器在 LED 上显示输出。验证您的电路板是否初始化为正确的 LED 状态,并且当您发送串行消息时 LED 状态会发生变化。
  4. 最后,验证您是否在 FPGA 端正确解释了消息。这包括确保位排序正确等。同样,LED 输出对于这部分非常有帮助。

这里的关键是采取小的、渐进的步骤,从物理上验证事情的每一步都在工作。

于 2013-07-05T18:05:29.923 回答