0

在我的项目中,两个模块无法相互协作。

第一个是

http://pastebin.com/hcwrWg11

第二:

http://pastebin.com/Lm6ZGGsU

交易是,我想将“maszyna2”中的“A”和“B”插入“Liczby”中的“a”和“b”,但出现错误:

第 1 节 - 错误

错误:MapLib:979 - LUT2 符号“XLXI_59/q<0>1”(输出信号=q_0_OBUF)具有将被修整的输入信号“XLXN_238”。请参阅地图报告文件的第 5 节,了解有关输入信号将变为未驱动的原因的详细信息。

错误:MapLib:979 - LUT2 符号“XLXI_59/q<15>1”(输出信号=q_15_OBUF)具有将被修整的输入信号“XLXN_238”。请参阅地图报告文件的第 5 节,了解有关输入信号将变为未驱动的原因的详细信息。

错误:MapLib:979 - LUT2 符号“XLXI_59/q<16>1”(输出信号=q_16_OBUF)具有将被修整的输入信号“XLXN_238”。请参阅地图报告文件的第 5 节,了解有关输入信号将变为未驱动的原因的详细信息。

错误:MapLib:979 - LUT2 符号“XLXI_59/q<17>1”(输出信号=q_17_OBUF)具有将被修整的输入信号“XLXN_238”。请参阅地图报告文件的第 5 节,了解有关输入信号将变为未驱动的原因的详细信息。

错误:MapLib:979 - LUT2 符号“XLXI_59/q<18>1”(输出信号=q_18_OBUF)具有将被修整的输入信号“XLXN_238”。请参阅地图报告文件的第 5 节,了解有关输入信号将变为未驱动的原因的详细信息。

错误:MapLib:979 - LUT2 符号“XLXI_59/q<19>1”(输出信号=q_19_OBUF)具有将被修整的输入信号“XLXN_238”。请参阅地图报告文件的第 5 节,了解有关输入信号将变为未驱动的原因的详细信息。

错误:MapLib:979 - LUT2 符号“XLXI_59/q<1>1”(输出信号=q_1_OBUF)具有将被修整的输入信号“XLXN_238”。请参阅地图报告文件的第 5 节,了解有关输入信号将变为未驱动的原因的详细信息。

错误:MapLib:979 - LUT2 符号“XLXI_59/q<2>1”(输出信号=q_2_OBUF)具有将被修整的输入信号“XLXN_238”。请参阅地图报告文件的第 5 节,了解有关输入信号将变为未驱动的原因的详细信息。

错误:MapLib:979 - LUT2 符号“XLXI_59/q<3>1”(输出信号=q_3_OBUF)具有将被修整的输入信号“XLXN_238”。请参阅地图报告文件的第 5 节,了解有关输入信号将变为未驱动的原因的详细信息。

错误:MapLib:979 - LUT2 符号“XLXI_59/q<4>1”(输出信号=q_4_OBUF)具有将被修整的输入信号“XLXN_238”。请参阅地图报告文件的第 5 节,了解有关输入信号将变为未驱动的原因的详细信息。

错误:MapLib:978 - LUT2 符号“XLXI_59/q<0>1”(输出信号=q_0_OBUF)有一个使用输入引脚 I1 的方程,该引脚不再有连接的信号。请确保此 LUT 等式中使用的所有引脚都具有未修整的信号(有关修整哪些信号的详细信息,请参见映射报告文件的第 5 节)。

错误:MapLib:978 - LUT2 符号“XLXI_59/q<15>1”(输出信号=q_15_OBUF)有一个使用输入引脚 I1 的方程,该引脚不再有连接的信号。请确保此 LUT 等式中使用的所有引脚都具有未修整的信号(有关修整哪些信号的详细信息,请参见映射报告文件的第 5 节)。

错误:MapLib:978 - LUT2 符号“XLXI_59/q<16>1”(输出信号=q_16_OBUF)有一个使用输入引脚 I1 的方程,该引脚不再有连接的信号。请确保此 LUT 等式中使用的所有引脚都具有未修整的信号(有关修整哪些信号的详细信息,请参见映射报告文件的第 5 节)。

错误:MapLib:978 - LUT2 符号“XLXI_59/q<17>1”(输出信号=q_17_OBUF)有一个使用输入引脚 I1 的方程,该引脚不再有连接的信号。请确保此 LUT 等式中使用的所有引脚都具有未修整的信号(有关修整哪些信号的详细信息,请参见映射报告文件的第 5 节)。

错误:MapLib:978 - LUT2 符号“XLXI_59/q<18>1”(输出信号=q_18_OBUF)有一个使用输入引脚 I1 的方程,该引脚不再有连接的信号。请确保此 LUT 等式中使用的所有引脚都具有未修整的信号(有关修整哪些信号的详细信息,请参见映射报告文件的第 5 节)。

错误:MapLib:978 - LUT2 符号“XLXI_59/q<19>1”(输出信号=q_19_OBUF)有一个使用输入引脚 I1 的方程,该引脚不再有连接的信号。请确保此 LUT 等式中使用的所有引脚都具有未修整的信号(有关修整哪些信号的详细信息,请参见映射报告文件的第 5 节)。

错误:MapLib:978 - LUT2 符号“XLXI_59/q<1>1”(输出信号=q_1_OBUF)有一个使用输入引脚 I1 的方程,该引脚不再有连接的信号。请确保此 LUT 等式中使用的所有引脚都具有未修整的信号(有关修整哪些信号的详细信息,请参见映射报告文件的第 5 节)。

错误:MapLib:978 - LUT2 符号“XLXI_59/q<2>1”(输出信号=q_2_OBUF)有一个使用输入引脚 I1 的方程,该引脚不再有连接的信号。请确保此 LUT 等式中使用的所有引脚都具有未修整的信号(有关修整哪些信号的详细信息,请参见映射报告文件的第 5 节)。

错误:MapLib:978 - LUT2 符号“XLXI_59/q<3>1”(输出信号=q_3_OBUF)有一个使用输入引脚 I1 的方程,该引脚不再有连接的信号。请确保此 LUT 等式中使用的所有引脚都具有未修整的信号(有关修整哪些信号的详细信息,请参见映射报告文件的第 5 节)。

错误:MapLib:978 - LUT2 符号“XLXI_59/q<4>1”(输出信号=q_4_OBUF)有一个使用输入引脚 I1 的方程,该引脚不再有连接的信号。请确保此 LUT 等式中使用的所有引脚都具有未修整的信号(有关修整哪些信号的详细信息,请参见映射报告文件的第 5 节)。

第 2 部分 - 警告 -------------------- 警告:安全性:42 - 您的软件订阅期已过。您当前版本的 Xilinx 工具将继续运行,但您不再有资格获得 Xilinx 软件更新或新版本。

第 3 节 - 信息 ------------------------- INFO:Security:54 - 'xc3s500e' 是 WebPack 的一部分。INFO:MapLib:562 - 当前未设置环境变量。

第 4 节 - 已删除的逻辑摘要 --------------------------------- 1 个块已删除 2 个块优化了 1 个信号已移除

第 5 节 - 删除的逻辑 -------------------------

下面报告的修整逻辑是: 1. 周期的一部分 2. 禁用逻辑的一部分 3. 其他修整逻辑的副作用

信号“XLXN_238”未使用,已被删除。删除了未使用的块“XLXI_62/next_state<0>”(ROM)。

优化块: TYPE BLOCK GND XST_GND VCC XST_VCC

要启用删除冗余块和合并信号的打印,请设置详细地图报告选项并重新运行地图。

4

1 回答 1

0

您的问题是由于 A 和 B 永远不会改变。

这是因为在您的“maszyna2”文件中:

PROCESS(ABC_LOG);
  BEGIN
    A <= AB_a;
    B <= AB_b;
    L <= LICZBA;
END PROCESS;

当 ABC_LOG 信号发生事件时,A 和 B 将获得该值。

process2 : process (clk)
               begin
              ABC_LOG <= not ABC_LOG;

每次 clk 信号中有事件时,ABC_LOG 都会更改。但是,ABC_LOG 从未被初始化。这意味着 ABC_LOG 永远不会改变。

此外,由于您没有说明更改“process2”的要求,因此该进程内的所有信号都将在两个时钟沿(上升沿和下降沿)更新。虽然这是可能的,但拥有双沿时钟并不是一个好主意,因为我不确定您所针对的电路板能否正确处理它。我建议你添加

if rising_edge( clk ) then
  ...
end if;

封装您的流程的声明2。

于 2013-07-10T18:04:20.330 回答