我正在尝试合成我编写的 vhdl 模块。
代码如下:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
use IEEE.NUMERIC_STD.ALL;
entity ClockCounter is
port(
clk : in std_logic;
input : in std_logic;
enable : in std_logic;
output : out std_logic := '0';
bitReady : out std_logic := '0';
countError : out std_logic := '0'
);
end ClockCounter;
architecture Behavioral of ClockCounter is
signal totalBitWidth : integer := 4;
signal majorityValue : integer := 3;
begin
totalBitWidth <= 4;
majorityValue <= 3;
-- Process for recognizing a single input value from a clock cycle
-- wide input signal
majority_proc: process(clk, input, enable)
variable clkCount : integer := 0;
variable Sum : integer := 0;
begin
if rising_edge(clk) And enable = '1' then
-- Reset bitReady after one clock cycle
bitReady <= '0';
-- Check the input value and add it to the Sum variable
if input = '1' then
Sum := Sum + 1;
else
Sum := Sum + 0;
end if;
-- Increment the clock counter variable
clkCount := clkCount + 1;
-- Check if the clock count has reached the specified number of cycles
if clkCount >= totalBitWidth then
-- Determine if the Sum variable has met the threshold for
-- value of 1, set the output accordingly
if Sum >= majorityValue then
output <= '1';
else
output <= '0';
end if;
-- This checks if the value for all clock cycles was the same and
-- sets an error flag if not
if Sum = totalBitWidth Or Sum = 0 then
countError <= '0';
else
countError <= '1';
end if;
-- Reset the clock counter and sum value
clkCount := 0;
Sum := 0;
-- Set the bit counter high to alert other midules that a new bit
-- has been received
bitReady <= '1';
end if;
elsif enable = '0' then
clkCount := 0;
Sum := 0;
end if;
end process;
end Behavioral;
我在尝试合成时遇到的问题是:
WARNING:Xst:1293 - FF/Latch 在 block 中有一个常数值 0。此 FF/Latch 将在优化过程中被修整。WARNING:Xst:1896 - 由于其他 FF/Latch 微调,FF/Latch 在 block 中有一个常数值 0。此 FF/Latch 将在优化过程中被修整。WARNING:Xst:1896 - 由于其他 FF/Latch 微调,FF/Latch 在 block 中有一个常数值 0。此 FF/Latch 将在优化过程中被修整。
修剪一直到 .
我不明白的是 clkCount 变量是一个整数,最多递增到 6,然后重置为 0。
这些警告是我可以忽略的吗?
这个模块是我正在开发的一个更大系统的一部分,当我合成更大的系统时,我得到了很多
找到信号的 1 位锁存器
所以我想做的是在修复上层模块之前尽可能多地消除下层模块中的警告。
任何帮助都会很棒。谢谢
PS - 我正在使用 Xilinx spartan 6 sp605 评估套件板和 Project Navigator。