我最近开始为学校做一个 FPGA 项目,我以前从未使用过 VHDL,所以我尽力将我的程序拼凑在一起。总的来说,我的目标是让 prbs 或 LFSR 随机生成。我的 vhdl 代码在 xilinx ISE 软件中检出并在测试台中正常运行,但我需要将项目闪存到板上并将示波器连接到板上的一个 SMA 连接器,我的问题是如何将我的输出转发到Spartan 6 板上的单个 SMA 连接器
library IEEE;
use IEEE.std_logic_1164.all;
entity LFSR is
port (
clock : std_logic;
reset : std_logic;
data_out : out std_logic_vector(9 downto 0)
);
end LFSR;
architecture Behavioral of LFSR is
signal lfsr_reg : std_logic_vector(9 downto 0);
begin
process (clock)
variable lfsr_tap : std_logic;
begin
if clock'EVENT and clock='1' then
if reset = '1' then
lfsr_reg <= (others => '1');
else
lfsr_tap := lfsr_reg(6) xor lfsr_reg(9);
lfsr_reg <= lfsr_reg(8 downto 0) & lfsr_tap;
end if;
end if;
end process;
data_out <= lfsr_reg;
end Behavioral;
现在我只想将输出/输出转发到 SMA 连接器,这样我就可以在示波器上得到结果,任何帮助都会很棒