-2

我想知道人们是否在科学计算中使用 VHDL/FPGA。

我正在考虑的一个示例场景是:

  1. 构造一个任意精度的浮点加法器
  2. 配置 FPGA 板,然后添加这些数字

因此,我一直在寻找 VHDL/FPGA 已用于科学计算的参考资料(示例代码)。

提前致谢。

4

2 回答 2

4

有几家供应商使用 FPGA 构建异构计算系统。我怀疑您会找到此类系统的完整源代码。

SRC 计算

传送电脑

米特里奥尼。其他系统的经销商。

诺沃-G。一个学术项目。

于 2012-11-13T15:15:04.187 回答
1

研究射电天文学。对于 VLA 和 ALMA 等阵列,大规模并行相关器是最重要的部分。这些通常使用 FPGA,但可以使用定制设计的芯片以更高的成本实现极致性能。

一些细读: https ://science.nrao.edu/facilities/cdl/digital-signal-processing http://web.njit.edu/~gary/728/Lecture8.html

于 2013-01-02T19:30:45.307 回答