我让 WebPack 再次在我的机器上运行,在综合了一个简单的设计并将其上传到我的 FPGA 之后,我的理解遇到了很大的问题。
当用户约束文件中有这样一行时:
NET "W1A<0>" LOC = "P18" ;
综合软件如何确定 VHDL 代码如何分配此引脚?
例如,以我提供的这个示例代码为例:
entity Webpack_Quickstart is
Port (
W1A : out STD_LOGIC_VECTOR(15 downto 0);
rx : in STD_LOGIC;
tx : inout STD_LOGIC;
clk : in STD_LOGIC
);
end Webpack_Quickstart;
architecture Behavioral of Webpack_Quickstart is
signal counter : STD_LOGIC_VECTOR(47 downto 0) := (others => '0');
begin
W1A(0) <= '1';
end;
这段代码究竟是如何使WIA0
我的 FPGA 上的引脚打开的?链接是什么?只是实体声明中的端口名称是否涉及更多魔法?