问题标签 [inout]

For questions regarding programming in ECMAScript (JavaScript/JS) and its various dialects/implementations (excluding ActionScript). Note JavaScript is NOT the same as Java! Please include all relevant tags on your question; e.g., [node.js], [jquery], [json], [reactjs], [angular], [ember.js], [vue.js], [typescript], [svelte], etc.

0 投票
1 回答
86 浏览

swift - 全局函数调用协议类型的变异方法。如何摆脱 var tmp 对象?

这是我的工作代码片段。但我想摆脱函数slide中的var tmp变量。

我已经尝试过类似的方法,以避免 var tmp:

谢谢你。

0 投票
2 回答
265 浏览

java - Java:通过 JTextField 读取用户输入并将输入保存到 .txt 文件

我正在编写一个程序,它代表一个时钟,并且还有一个文本字段,我用它来实例化:

所以,我希望用户用类似的字符串填充文本字段12 34 56(这应该在给定时间触发时钟警报)。

我的主要方法执行以下操作:

... wherecreateAndShowGui()创建Paneland Frame(plus Textfieldand Buttons) 并调用我用来显示当前时间的另一个函数。

0 投票
2 回答
387 浏览

swift - 如何在给定路径片段的 Swift 中更新嵌套字典中的值?

我有一个嵌套字典和一个包含路径片段的数组。我需要更新该位置的值。

我可能正在寻找递归函数而不是Dictionary类型的扩展等。

我无法递归地执行此操作,因为我制作了inout参数的副本。

如何更新 to 的title123

0 投票
4 回答
1489 浏览

ios - Swift 中的“mutating”函数和“inout”参数有什么区别吗?

根据 Swift 文档,mutating 和 inout 关键字都用于修改函数内的值类型。“mutating”和“inout”以及我们需要使用它们中的任何一个的任何特殊情况之间是否有任何区别。

0 投票
2 回答
179 浏览

python - SWIG INOUT 类型(C++ 到 Python)

我正在为 C++ api 创建一个 python 包装器,使用模板或多或少地支持某些类型,但 api 需要一些变量通过引用,其中一个是 int,另一个是 float。

如果我没记错的话,它是通过创建一个 INOUT 应用来完成的,例如:

但是我如何在我的 python 中使用这些类型呢?

提前感谢您的任何提示。

0 投票
1 回答
564 浏览

java - InOut.readInt() 仅适用于 Windows Java 编辑器

在学校,我使用 Windows 的 Java 编辑器(控制台模式)编写 Java 程序。在那里,InOut.readInt()(用于用户输入)没有问题,我不必导入任何东西。

现在,我有一个假期的 Java 作业,我尝试在我的 Mac 上编写 Java 程序。在在线控制台 Java 编辑器中,该行InOut.readInt()会导致此错误:

我已经尝试过导入行(放在课堂之前),例如:

  • import java.*
  • import java.util.*
  • import java.util.InOut
  • import java.io.BufferedStreamReader

应该生产线

但相反,会出现错误消息(如上所示)。

0 投票
1 回答
949 浏览

verilog - Verilog 高阻抗输入输出合成

我通常不在verilog中使用inout或高阻抗状态进行综合(假设内部逻辑最终必须将其实现为标准CMOS 2状态逻辑)。

但是,我发现很多用于合成的 verilog,如下所示:

其中 signal1 和 signal2 仅被驱动到 1'b0 或 1'bz 并且它们在约束文件中被声明为开漏端口。

大多数合成工具都支持合成吗?我的目标是晶格CPLD,它似乎工作正常,但我很好奇其他工具是否可以合成这样的东西好吗?

您通常必须明确告诉工具上拉信号吗?或者这通常是没有必要的(我在我正在查看的代码中找不到任何关于引体向上的提及)

0 投票
1 回答
693 浏览

swift - 如何保证 inout 参数不会改变类型并且不会在函数中变为 nil

工作在swift 4. 我有这样的功能

当我这样称呼它时,我得到了错误:

“Inout 参数可以设置为类型不是“产品”的值;使用声明为类型“_?”的值 反而”

此外,如果我尝试为 ProductExtended.Product 中的字段调用它,我会得到模棱两可的上下文有没有办法向编译器保证我不会更改该参数的值类型并且我不会在函数内将其设为 nil ?

0 投票
2 回答
641 浏览

swift - Inout vs 通过引用快速优化

我有一个非常大的数字列表,我想将它传递给一个函数来对其进行一些操作。最初,我创建了一个带有 inout 属性的函数。众所周知,swift 中的 inout 不会通过引用传递,而是对函数进行初始复制,然后在返回时将值复制回。这听起来很昂贵。我决定将我的列表包装在一个类中并通过引用传递,以优化和减少复制时间。有趣的是,似乎 inout 函数比通过引用函数更快。我什至对 inout 变量进行了操作,以使编译器在写入时进行复制。任何想法为什么 inout 函数比通过引用传递更快?

refTest 所用时间:0.0015590190887451172 秒。

输入输出经过的时间:0.00035893917083740234 秒。

0 投票
1 回答
747 浏览

port - VHDL INOUT 端口不提供信号 (I2C)

作为项目的一部分,我正在尝试在我的项目中实现一个非常基本的 I2C 模块(不是自己编写的)。I2C 模块使用数据线作为输入端口。我正在尝试验证包含 6 位地址 +“读取”位的数据“01010001”。我生成了以下添加代码的比特流,我试图用示波器测量端口的输出。我可以验证 SCL 上的时钟,但数据信号始终保持为零。我创建了一个包含时钟分频器的顶层模块,为 i2c 模块和 i2c 模块提供时钟。我将在下面添加两个 VHDL 文件。我的问题是:代码中是否有我无法弄清楚的错误,还是我改变了测量端口的方式?

I2C 模块:

该模块由以下代码组成:

编辑:更新了 i2c 模块的代码。我评论了写给奴隶的整个部分